You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

116 lines
3.1 KiB

  1. library ieee ;
  2. use ieee.std_logic_1164.all;
  3. use ieee.numeric_std.all;
  4. use std.env.all;
  5. entity tb_uart_reg is
  6. end entity tb_uart_reg;
  7. architecture sim of tb_uart_reg is
  8. signal s_clk : std_logic := '1';
  9. signal s_rst_n : std_logic := '0';
  10. signal s_uart_rx : std_logic := '1';
  11. signal s_uart_tx : std_logic;
  12. constant c_baudrate : natural := 9600;
  13. constant c_period_ns : time := 1000000000 / c_baudrate * ns;
  14. procedure uart_send ( data : in std_logic_vector(7 downto 0);
  15. signal tx : out std_logic) is
  16. begin
  17. report "UART send: 0x" & to_hstring(data);
  18. tx <= '0';
  19. wait for c_period_ns;
  20. for i in 0 to 7 loop
  21. tx <= data(i);
  22. wait for c_period_ns;
  23. end loop;
  24. tx <= '1';
  25. wait for c_period_ns;
  26. end procedure;
  27. procedure uart_recv ( data : out std_logic_vector(7 downto 0);
  28. signal rx : in std_logic) is
  29. begin
  30. wait until not rx;
  31. wait for c_period_ns; -- Skip start bit
  32. wait for c_period_ns/2;
  33. for i in 0 to 7 loop
  34. data(i) := rx;
  35. wait for c_period_ns;
  36. end loop;
  37. report "UART recv: 0x" & to_hstring(data);
  38. end procedure;
  39. begin
  40. dut : entity work.uart_reg
  41. port map (
  42. clk_i => s_clk,
  43. rst_n_i => s_rst_n,
  44. uart_rx_i => s_uart_rx,
  45. uart_tx_o => s_uart_tx
  46. );
  47. s_rst_n <= '1' after 120 ns;
  48. s_clk <= not s_clk after 50 ns;
  49. SendP : process is
  50. variable v_data : std_logic_vector(7 downto 0);
  51. begin
  52. wait until s_rst_n;
  53. wait until rising_edge(s_clk);
  54. wait for 200 us;
  55. -- First read all registers
  56. for i in 0 to 7 loop
  57. v_data := std_logic_vector(to_unsigned(i, 4)) & x"0";
  58. uart_send(v_data, s_uart_rx);
  59. end loop;
  60. -- Then write all registers
  61. for i in 0 to 7 loop
  62. v_data := std_logic_vector(to_unsigned(i, 4)) & x"1";
  63. uart_send(v_data, s_uart_rx);
  64. uart_send(x"FF", s_uart_rx);
  65. end loop;
  66. -- Finally read all registers again after write
  67. for i in 0 to 7 loop
  68. v_data := std_logic_vector(to_unsigned(i, 4)) & x"0";
  69. uart_send(v_data, s_uart_rx);
  70. end loop;
  71. wait;
  72. end process;
  73. ReceiveP : process is
  74. type t_exp is array (0 to 7) of std_logic_vector(7 downto 0);
  75. variable v_exp : t_exp;
  76. variable v_data : std_logic_vector(7 downto 0);
  77. begin
  78. wait until s_rst_n;
  79. wait until rising_edge(s_clk);
  80. -- First read all registers
  81. v_exp := (0 => x"01", others => x"00");
  82. for i in 0 to 7 loop
  83. uart_recv(v_data, s_uart_tx);
  84. assert v_data = v_exp(i)
  85. report "UART receive error, got 0x" & to_hstring(v_data) & ", expected 0x" & to_hstring(v_exp(i))
  86. severity failure;
  87. end loop;
  88. -- Finally read all registers again after write
  89. v_exp := (0 => x"01", others => x"FF");
  90. for i in 0 to 7 loop
  91. uart_recv(v_data, s_uart_tx);
  92. assert v_data = v_exp(i)
  93. report "UART receive error, got 0x" & to_hstring(v_data) & ", expected 0x" & to_hstring(v_exp(i))
  94. severity failure;
  95. end loop;
  96. wait for 200 us;
  97. report "Simulation finished :-)";
  98. stop(0);
  99. end process;
  100. end architecture;