Library of reusable VHDL components
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

65 lines
2.0 KiB

  1. --
  2. -- File Name: ScoreBoardPkg_int.vhd
  3. -- Design Unit Name: ScoreBoardPkg_int
  4. -- Revision: STANDARD VERSION
  5. --
  6. -- Maintainer: Jim Lewis email: jim@synthworks.com
  7. -- Contributor(s):
  8. -- Jim Lewis email: jim@synthworks.com
  9. --
  10. --
  11. -- Description:
  12. -- Instance of Generic Package ScoreboardGenericPkg for integer
  13. --
  14. -- Developed for:
  15. -- SynthWorks Design Inc.
  16. -- VHDL Training Classes
  17. -- 11898 SW 128th Ave. Tigard, Or 97223
  18. -- http://www.SynthWorks.com
  19. --
  20. -- Latest standard version available at:
  21. -- http://www.SynthWorks.com/downloads
  22. --
  23. -- Revision History:
  24. -- Date Version Description
  25. -- 08/2012 2012.08 Generic Instance of ScoreboardGenericPkg
  26. -- 08/2014 2013.08 Updated interface for Match and to_string
  27. -- 11/2016 2016.11 Released as part of OSVVM library
  28. --
  29. --
  30. -- Copyright (c) 2006 - 2016 by SynthWorks Design Inc. All rights reserved.
  31. --
  32. -- Verbatim copies of this source file may be used and
  33. -- distributed without restriction.
  34. --
  35. -- This source file is free software; you can redistribute it
  36. -- and/or modify it under the terms of the ARTISTIC License
  37. -- as published by The Perl Foundation; either version 2.0 of
  38. -- the License, or (at your option) any later version.
  39. --
  40. -- This source is distributed in the hope that it will be
  41. -- useful, but WITHOUT ANY WARRANTY; without even the implied
  42. -- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
  43. -- PURPOSE. See the Artistic License for details.
  44. --
  45. -- You should have received a copy of the license with this source.
  46. -- If not download it from,
  47. -- http://www.perlfoundation.org/artistic_license_2_0
  48. --
  49. --
  50. use std.textio.all ;
  51. library ieee ;
  52. use ieee.std_logic_1164.all ;
  53. use ieee.numeric_std.all ;
  54. package ScoreBoardPkg_int is new work.ScoreboardGenericPkg
  55. generic map (
  56. ExpectedType => integer,
  57. ActualType => integer,
  58. Match => "=",
  59. expected_to_string => to_string,
  60. actual_to_string => to_string
  61. ) ;