Library of reusable VHDL components
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

24 lines
582 B

  1. [tasks]
  2. bmc
  3. prove
  4. cover
  5. [options]
  6. depth 25
  7. bmc: mode bmc
  8. prove: mode prove
  9. cover: mode cover
  10. [engines]
  11. bmc: smtbmc z3
  12. prove: abc pdr
  13. cover: smtbmc z3
  14. [script]
  15. bmc: ghdl --std=08 -gFormal=true -gSimulation=false -gAddressWidth=32 -gDataWidth=32 WishBoneSlaveE.vhd -e wishboneslavee
  16. prove: ghdl --std=08 -gFormal=true -gSimulation=false -gAddressWidth=32 -gDataWidth=32 WishBoneSlaveE.vhd -e wishboneslavee
  17. cover: ghdl --std=08 -gFormal=true -gSimulation=false -gAddressWidth=32 -gDataWidth=32 WishBoneSlaveE.vhd -e wishboneslavee
  18. prep -auto-top
  19. [files]
  20. ../syn/WishBoneSlaveE.vhd