Library of reusable VHDL components
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

22 lines
618 B

  1. SIM_SRC = ../sim
  2. VHD_STD = 02
  3. .PHONY: sim
  4. sim: queuet stringt
  5. queuet : QueueT.vhd $(SIM_SRC)/QueueP.vhd $(SIM_SRC)/StringP.vhd $(SIM_SRC)/AssertP.vhd
  6. ghdl -a --std=$(VHD_STD) $(SIM_SRC)/StringP.vhd $(SIM_SRC)/AssertP.vhd $(SIM_SRC)/QueueP.vhd QueueT.vhd
  7. ghdl -e --std=$(VHD_STD) QueueT
  8. ghdl -r --std=$(VHD_STD) QueueT
  9. stringt : StringT.vhd $(SIM_SRC)/StringP.vhd $(SIM_SRC)/AssertP.vhd
  10. ghdl -a --std=$(VHD_STD) $(SIM_SRC)/StringP.vhd $(SIM_SRC)/AssertP.vhd StringT.vhd
  11. ghdl -e --std=$(VHD_STD) StringT
  12. ghdl -r --std=$(VHD_STD) StringT
  13. .PHONY: clean
  14. clean:
  15. rm -f *.o
  16. rm -f *.cf
  17. rm -f queuet
  18. rm -f stringt