Browse Source

Use odd_parity() of UtilsP package instead of local one

master
T. Meissner 4 years ago
parent
commit
0dba78bc86
2 changed files with 0 additions and 10 deletions
  1. +0
    -5
      syn/UartRx.vhd
  2. +0
    -5
      syn/UartTx.vhd

+ 0
- 5
syn/UartRx.vhd View File

@ -49,11 +49,6 @@ end entity UartRx;
architecture rtl of UartRx is architecture rtl of UartRx is
function odd_parity (data : in std_logic_vector(DATA_LENGTH-1 downto 0)) return std_logic is
begin
return not xor_reduce(data);
end function odd_parity;
function to_integer (data : in boolean) return integer is function to_integer (data : in boolean) return integer is
begin begin
if data then if data then


+ 0
- 5
syn/UartTx.vhd View File

@ -48,11 +48,6 @@ end entity UartTx;
architecture rtl of UartTx is architecture rtl of UartTx is
function odd_parity (data : in std_logic_vector(DATA_LENGTH-1 downto 0)) return std_logic is
begin
return not xor_reduce(data);
end function odd_parity;
function to_integer (data : in boolean) return integer is function to_integer (data : in boolean) return integer is
begin begin
if data then if data then


Loading…
Cancel
Save