Browse Source

add information about new AssertP and SimP package components and tests

pull/1/head
T. Meissner 10 years ago
parent
commit
61baa0ff9a
1 changed files with 30 additions and 0 deletions
  1. +30
    -0
      README.md

+ 30
- 0
README.md View File

@ -1,9 +1,31 @@
# libvhdl
A LGPLv3 licensed library of reusable components for VHDL designs and testbenches
##sim
(Non) synthesible components for testbenches
##### AssertP
Package with various assertion procedures
* `assert_true(x[, str])` checks if boolean x = false, prints string str to console str when given
* `assert_false(x[, str])` checks if boolean x = false, prints string str to console str when given
* `assert_equal(x, y, [str])` checks if x = y, prints string str to console str when given
* `assert_unequal(x, y, [str])` checks if x /= y, prints string str to console str when given
##### SimP
Package with various components general useful for simulation
* `wait_cycles(x, n)` waits for n rising edges on std_logic signal x
* `spi_master()` configurable master for SPI protocol
* `spi_slave()` configurable slave for SPI protocol
##### StringP
Package with various functions to convert to string
* `to_char(x)` returns string with binary value of std_logic x
* `to_string(x)` returns string with binary value of std_logic_vector x
##### QueueP
Package with various implementations of queue types:
@ -17,9 +39,17 @@ Unit tests for each component
##### QueueT
Units tests for components of QueueP package
##### SimT
Units tests for components of SimP package
##### StringT
Units tests for components of SimP package
## Dependencies
To run the tests, you have to install GHDL. You can get it from [http://sourceforge.net/projects/ghdl-updates/](http://sourceforge.net/projects/ghdl-updates/).
## Building
Type `make` and you should see the successfully running tests


Loading…
Cancel
Save