Browse Source

fixed broken test for t_list_queue (data width mismatch)

pull/1/head
T. Meissner 10 years ago
parent
commit
db5dfc76b0
1 changed files with 3 additions and 3 deletions
  1. +3
    -3
      test/QueueT.vhd

+ 3
- 3
test/QueueT.vhd View File

@ -46,19 +46,19 @@ begin
ListQueueTestP : process is
variable v_data : std_logic_vector(63 downto 0);
variable v_data : std_logic_vector(7 downto 0);
begin
-- check initial emptiness
assert_true(sv_list_queue.is_empty, "Queue should be empty!");
for i in 0 to 63 loop
sv_list_queue.push(std_logic_vector(to_unsigned(i, 64)));
sv_list_queue.push(std_logic_vector(to_unsigned(i, 8)));
end loop;
-- check that it's full
assert_true(sv_list_queue.is_full, "Queue should be full!");
-- empty the queue
for i in 0 to 63 loop
sv_list_queue.pop(v_data);
assert_equal(v_data, std_logic_vector(to_unsigned(i, 64)));
assert_equal(v_data, std_logic_vector(to_unsigned(i, 8)));
end loop;
-- check emptiness
assert_true(sv_list_queue.is_empty, "Queue should be empty!");


Loading…
Cancel
Save