Browse Source

use new overloaded procedures with added report string parameter

pull/1/head
T. Meissner 10 years ago
parent
commit
e70325aa62
1 changed files with 6 additions and 6 deletions
  1. +6
    -6
      test/QueueT.vhd

+ 6
- 6
test/QueueT.vhd View File

@ -25,19 +25,19 @@ begin
variable v_data : std_logic_vector(63 downto 0); variable v_data : std_logic_vector(63 downto 0);
begin begin
-- check initial emptiness -- check initial emptiness
assert_true(sv_simple_queue.is_empty);
assert_true(sv_simple_queue.is_empty, "Queue should be empty!");
for i in 0 to 63 loop for i in 0 to 63 loop
sv_simple_queue.push(std_logic_vector(to_unsigned(i, 64))); sv_simple_queue.push(std_logic_vector(to_unsigned(i, 64)));
end loop; end loop;
-- check that it's full -- check that it's full
assert_true(sv_simple_queue.is_full);
assert_true(sv_simple_queue.is_full, "Queue should be full!");
-- empty the queue -- empty the queue
for i in 0 to 63 loop for i in 0 to 63 loop
sv_simple_queue.pop(v_data); sv_simple_queue.pop(v_data);
assert_equal(v_data, std_logic_vector(to_unsigned(i, 64))); assert_equal(v_data, std_logic_vector(to_unsigned(i, 64)));
end loop; end loop;
-- check emptiness -- check emptiness
assert_true(sv_simple_queue.is_empty);
assert_true(sv_simple_queue.is_empty, "Queue should be empty!");
report "INFO: t_simple_queue test finished successfully"; report "INFO: t_simple_queue test finished successfully";
wait; wait;
end process SimpleQueueTestP; end process SimpleQueueTestP;
@ -47,19 +47,19 @@ begin
variable v_data : std_logic_vector(63 downto 0); variable v_data : std_logic_vector(63 downto 0);
begin begin
-- check initial emptiness -- check initial emptiness
assert_true(sv_list_queue.is_empty);
assert_true(sv_list_queue.is_empty, "Queue should be empty!");
for i in 0 to 63 loop for i in 0 to 63 loop
sv_list_queue.push(std_logic_vector(to_unsigned(i, 64))); sv_list_queue.push(std_logic_vector(to_unsigned(i, 64)));
end loop; end loop;
-- check that it's full -- check that it's full
assert_true(sv_list_queue.is_full);
assert_true(sv_list_queue.is_full, "Queue should be full!");
-- empty the queue -- empty the queue
for i in 0 to 63 loop for i in 0 to 63 loop
sv_list_queue.pop(v_data); sv_list_queue.pop(v_data);
assert_equal(v_data, std_logic_vector(to_unsigned(i, 64))); assert_equal(v_data, std_logic_vector(to_unsigned(i, 64)));
end loop; end loop;
-- check emptiness -- check emptiness
assert_true(sv_list_queue.is_empty);
assert_true(sv_list_queue.is_empty, "Queue should be empty!");
report "INFO: t_list_queue test finished successfully"; report "INFO: t_list_queue test finished successfully";
wait; wait;
end process ListQueueTestP; end process ListQueueTestP;


Loading…
Cancel
Save