Library of reusable VHDL components
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
 
 
 

19 lines
277 B

[tasks]
prove
cover
[options]
depth 25
prove: mode prove
cover: mode cover
[engines]
prove: abc pdr
cover: smtbmc z3
[script]
ghdl --std=08 -gFormal=true -gAddressWidth=32 -gDataWidth=32 WishBoneSlaveE.vhd -e wishboneslavee
prep -auto-top
[files]
../syn/WishBoneSlaveE.vhd