Various projects using Raspberry Pi
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

7 lines
243 B

  1. BLOCK RESETPATHS ;
  2. BLOCK ASYNCPATHS ;
  3. LOCATE COMP "RaspiIrq_o" SITE "11" ;
  4. IOBUF PORT "RaspiIrq_o" IO_TYPE=LVCMOS33 PULLMODE=NONE ;
  5. IOBUF ALLPORTS IO_TYPE=LVCMOS33 ;
  6. LOCATE COMP "SpiSte_i" SITE "69" ;
  7. FREQUENCY NET "s_sys_clk" 26.600000 MHz ;