Various projects using Raspberry Pi
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
 
 
T. Meissner a29b3119e9 minor bugfixes 10 years ago
..
EfbSpiSlave.vhd add EFB configured as SPI slave 10 years ago
RaspiFpgaCtrlE.vhd add central control component of raspiFpga design 10 years ago
RaspiFpgaE.vhd minor bugfixes 10 years ago