Various projects using Raspberry Pi
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
 
 
T. Meissner a39d535248 add change of s_spi_frame to NOP after write/read cycle and no new preamble was received 10 years ago
..
EfbSpiSlave.vhd add EFB configured as SPI slave 10 years ago
RaspiFpgaCtrlE.vhd add change of s_spi_frame to NOP after write/read cycle and no new preamble was received 10 years ago
RaspiFpgaE.vhd fixed swapped clk & rst connections on WishBoneMasterE module 10 years ago