Browse Source

Replace * imports by selective ones

master
T. Meissner 4 months ago
parent
commit
ec50ef00fa
2 changed files with 21 additions and 8 deletions
  1. +1
    -1
      pyuvm_tests/VaiBfm.py
  2. +20
    -7
      pyuvm_tests/tb_aes.py

+ 1
- 1
pyuvm_tests/VaiBfm.py View File

@ -1,5 +1,5 @@
import cocotb
from cocotb.triggers import FallingEdge, RisingEdge, Timer
from cocotb.triggers import RisingEdge, Timer
from cocotb.queue import QueueEmpty, Queue
from cocotb.clock import Clock
import logging


+ 20
- 7
pyuvm_tests/tb_aes.py View File

@ -1,14 +1,27 @@
from cocotb.queue import Queue
from cocotb.triggers import RisingEdge, Timer, Combine
from pyuvm import *
import cocotb
import pyuvm
import vsc
from cocotb.triggers import Combine
from pyuvm import (
uvm_test,
uvm_sequence,
uvm_sequence_item,
uvm_sequencer,
uvm_driver,
uvm_component,
uvm_subscriber,
uvm_env,
uvm_factory,
uvm_analysis_port,
uvm_tlm_analysis_fifo,
uvm_get_port,
ConfigDB,
UVMConfigItemNotFound,
)
from vsc import get_coverage_report
from VaiBfm import VaiBfm, Mode
from Coverage import constraints, covergroup
from Crypto.Cipher import AES
import cocotb
import pyuvm
import vsc
@pyuvm.test()


Loading…
Cancel
Save