cryptography ip-cores in vhdl / verilog
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

431 lines
17 KiB

  1. -- ======================================================================
  2. -- DES encryption/decryption testbench
  3. -- tests according to NIST 800-17 special publication
  4. -- Copyright (C) 2011 Torsten Meissner
  5. -------------------------------------------------------------------------
  6. -- This program is free software; you can redistribute it and/or modify
  7. -- it under the terms of the GNU General Public License as published by
  8. -- the Free Software Foundation; either version 2 of the License, or
  9. -- (at your option) any later version.
  10. -- This program is distributed in the hope that it will be useful,
  11. -- but WITHOUT ANY WARRANTY; without even the implied warranty of
  12. -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
  13. -- GNU General Public License for more details.
  14. -- You should have received a copy of the GNU General Public License
  15. -- along with this program; if not, write to the Free Software
  16. -- Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
  17. -- ======================================================================
  18. -- Revision 1.0 2011/09/17
  19. -- Initial release
  20. -- Revision 1.0.1 2011/09/18
  21. -- tests partial adopted to NIST 800-16 publication
  22. -- Revision 1.0.2 2011/09/18
  23. -- includes more tests of NIST 800-16 publication
  24. -- Revision 1.1 2011/09/18
  25. -- now with all ecb tests of NIST 800-17 publication except the modes-tests
  26. library ieee;
  27. use ieee.std_logic_1164.all;
  28. use ieee.numeric_std.all;
  29. entity tb_des is
  30. end entity tb_des;
  31. architecture rtl of tb_des is
  32. type t_array is array (natural range <>) of std_logic_vector(0 to 63);
  33. constant c_variable_plaintext_known_answers : t_array(0 to 63) :=
  34. (x"95F8A5E5DD31D900", x"DD7F121CA5015619", x"2E8653104F3834EA",
  35. x"4BD388FF6CD81D4F", x"20B9E767B2FB1456", x"55579380D77138EF",
  36. x"6CC5DEFAAF04512F", x"0D9F279BA5D87260", x"D9031B0271BD5A0A",
  37. x"424250B37C3DD951", x"B8061B7ECD9A21E5", x"F15D0F286B65BD28",
  38. x"ADD0CC8D6E5DEBA1", x"E6D5F82752AD63D1", x"ECBFE3BD3F591A5E",
  39. x"F356834379D165CD", x"2B9F982F20037FA9", x"889DE068A16F0BE6",
  40. x"E19E275D846A1298", x"329A8ED523D71AEC", x"E7FCE22557D23C97",
  41. x"12A9F5817FF2D65D", x"A484C3AD38DC9C19", x"FBE00A8A1EF8AD72",
  42. x"750D079407521363", x"64FEED9C724C2FAF", x"F02B263B328E2B60",
  43. x"9D64555A9A10B852", x"D106FF0BED5255D7", x"E1652C6B138C64A5",
  44. x"E428581186EC8F46", x"AEB5F5EDE22D1A36", x"E943D7568AEC0C5C",
  45. x"DF98C8276F54B04B", x"B160E4680F6C696F", x"FA0752B07D9C4AB8",
  46. x"CA3A2B036DBC8502", x"5E0905517BB59BCF", x"814EEB3B91D90726",
  47. x"4D49DB1532919C9F", x"25EB5FC3F8CF0621", x"AB6A20C0620D1C6F",
  48. x"79E90DBC98F92CCA", x"866ECEDD8072BB0E", x"8B54536F2F3E64A8",
  49. x"EA51D3975595B86B", x"CAFFC6AC4542DE31", x"8DD45A2DDF90796C",
  50. x"1029D55E880EC2D0", x"5D86CB23639DBEA9", x"1D1CA853AE7C0C5F",
  51. x"CE332329248F3228", x"8405D1ABE24FB942", x"E643D78090CA4207",
  52. x"48221B9937748A23", x"DD7C0BBD61FAFD54", x"2FBC291A570DB5C4",
  53. x"E07C30D7E4E26E12", x"0953E2258E8E90A1", x"5B711BC4CEEBF2EE",
  54. x"CC083F1E6D9E85F6", x"D2FD8867D50D2DFE", x"06E7EA22CE92708F",
  55. x"166B40B44ABA4BD6");
  56. constant c_variable_key_known_answers : t_array(0 to 55) :=
  57. (x"95A8D72813DAA94D", x"0EEC1487DD8C26D5", x"7AD16FFB79C45926",
  58. x"D3746294CA6A6CF3", x"809F5F873C1FD761", x"C02FAFFEC989D1FC",
  59. x"4615AA1D33E72F10", x"2055123350C00858", x"DF3B99D6577397C8",
  60. x"31FE17369B5288C9", x"DFDD3CC64DAE1642", x"178C83CE2B399D94",
  61. x"50F636324A9B7F80", x"A8468EE3BC18F06D", x"A2DC9E92FD3CDE92",
  62. x"CAC09F797D031287", x"90BA680B22AEB525", x"CE7A24F350E280B6",
  63. x"882BFF0AA01A0B87", x"25610288924511C2", x"C71516C29C75D170",
  64. x"5199C29A52C9F059", x"C22F0A294A71F29F", x"EE371483714C02EA",
  65. x"A81FBD448F9E522F", x"4F644C92E192DFED", x"1AFA9A66A6DF92AE",
  66. x"B3C1CC715CB879D8", x"19D032E64AB0BD8B", x"3CFAA7A7DC8720DC",
  67. x"B7265F7F447AC6F3", x"9DB73B3C0D163F54", x"8181B65BABF4A975",
  68. x"93C9B64042EAA240", x"5570530829705592", x"8638809E878787A0",
  69. x"41B9A79AF79AC208", x"7A9BE42F2009A892", x"29038D56BA6D2745",
  70. x"5495C6ABF1E5DF51", x"AE13DBD561488933", x"024D1FFA8904E389",
  71. x"D1399712F99BF02E", x"14C1D7C1CFFEC79E", x"1DE5279DAE3BED6F",
  72. x"E941A33F85501303", x"DA99DBBC9A03F379", x"B7FC92F91D8E92E9",
  73. x"AE8E5CAA3CA04E85", x"9CC62DF43B6EED74", x"D863DBB5C59A91A0",
  74. x"A1AB2190545B91D7", x"0875041E64C570F7", x"5A594528BEBEF1CC",
  75. x"FCDB3291DE21F0C0", x"869EFD7F9F265A09");
  76. constant c_permutation_operation_known_answers_keys : t_array(0 to 31) :=
  77. (x"1046913489980131", x"1007103489988020", x"10071034C8980120",
  78. x"1046103489988020", x"1086911519190101", x"1086911519580101",
  79. x"5107B01519580101", x"1007B01519190101", x"3107915498080101",
  80. x"3107919498080101", x"10079115B9080140", x"3107911598080140",
  81. x"1007D01589980101", x"9107911589980101", x"9107D01589190101",
  82. x"1007D01598980120", x"1007940498190101", x"0107910491190401",
  83. x"0107910491190101", x"0107940491190401", x"19079210981A0101",
  84. x"1007911998190801", x"10079119981A0801", x"1007921098190101",
  85. x"100791159819010B", x"1004801598190101", x"1004801598190102",
  86. x"1004801598190108", x"1002911598100104", x"1002911598190104",
  87. x"1002911598100201", x"1002911698100101");
  88. constant c_permutation_operation_known_answers_cipher : t_array(0 to 31) :=
  89. (x"88D55E54F54C97B4", x"0C0CC00C83EA48FD", x"83BC8EF3A6570183",
  90. x"DF725DCAD94EA2E9", x"E652B53B550BE8B0", x"AF527120C485CBB0",
  91. x"0F04CE393DB926D5", x"C9F00FFC74079067", x"7CFD82A593252B4E",
  92. x"CB49A2F9E91363E3", x"00B588BE70D23F56", x"406A9A6AB43399AE",
  93. x"6CB773611DCA9ADA", x"67FD21C17DBB5D70", x"9592CB4110430787",
  94. x"A6B7FF68A318DDD3", x"4D102196C914CA16", x"2DFA9F4573594965",
  95. x"B46604816C0E0774", x"6E7E6221A4F34E87", x"AA85E74643233199",
  96. x"2E5A19DB4D1962D6", x"23A866A809D30894", x"D812D961F017D320",
  97. x"055605816E58608F", x"ABD88E8B1B7716F1", x"537AC95BE69DA1E1",
  98. x"AED0F6AE3C25CDD8", x"B3E35A5EE53E7B8D", x"61C79C71921A2EF8",
  99. x"E2F5728F0995013C", x"1AEAC39A61F0A464");
  100. constant c_substitution_table_test_keys : t_array(0 to 18) :=
  101. (x"7CA110454A1A6E57", x"0131D9619DC1376E", x"07A1133E4A0B2686",
  102. x"3849674C2602319E", x"04B915BA43FEB5B6", x"0113B970FD34F2CE",
  103. x"0170F175468FB5E6", x"43297FAD38E373FE", x"07A7137045DA2A16",
  104. x"04689104C2FD3B2F", x"37D06BB516CB7546", x"1F08260D1AC2465E",
  105. x"584023641ABA6176", x"025816164629B007", x"49793EBC79B3258F",
  106. x"4FB05E1515AB73A7", x"49E95D6D4CA229BF", x"018310DC409B26D6",
  107. x"1C587F1C13924FEF");
  108. constant c_substitution_table_test_plain : t_array(0 to 18) :=
  109. (x"01A1D6D039776742", x"5CD54CA83DEF57DA", x"0248D43806F67172",
  110. x"51454B582DDF440A", x"42FD443059577FA2", x"059B5E0851CF143A",
  111. x"0756D8E0774761D2", x"762514B829BF486A", x"3BDD119049372802",
  112. x"26955F6835AF609A", x"164D5E404F275232", x"6B056E18759F5CCA",
  113. x"004BD6EF09176062", x"480D39006EE762F2", x"437540C8698F3CFA",
  114. x"072D43A077075292", x"02FE55778117F12A", x"1D9D5C5018F728C2",
  115. x"305532286D6F295A");
  116. constant c_substitution_table_test_cipher : t_array(0 to 18) :=
  117. (x"690F5B0D9A26939B", x"7A389D10354BD271", x"868EBB51CAB4599A",
  118. x"7178876E01F19B2A", x"AF37FB421F8C4095", x"86A560F10EC6D85B",
  119. x"0CD3DA020021DC09", x"EA676B2CB7DB2B7A", x"DFD64A815CAF1A0F",
  120. x"5C513C9C4886C088", x"0A2AEEAE3FF4AB77", x"EF1BF03E5DFA575A",
  121. x"88BF0DB6D70DEE56", x"A1F9915541020B56", x"6FBF1CAFCFFD0556",
  122. x"2F22E49BAB7CA1AC", x"5A6B612CC26CCE4A", x"5F4C038ED12B2E41",
  123. x"63FAC0D034D9F793");
  124. signal s_clk : std_logic := '0';
  125. signal s_mode : std_logic := '0';
  126. signal s_key : std_logic_vector(0 to 63) := (others => '0');
  127. signal s_datain : std_logic_vector(0 to 63) := (others => '0');
  128. signal s_validin : std_logic := '0';
  129. signal s_dataout : std_logic_vector(0 to 63);
  130. signal s_validout : std_logic;
  131. component des is
  132. port (
  133. clk_i : in std_logic;
  134. mode_i : in std_logic;
  135. key_i : in std_logic_vector(0 TO 63);
  136. data_i : in std_logic_vector(0 TO 63);
  137. valid_i : in std_logic;
  138. data_o : out std_logic_vector(0 TO 63);
  139. valid_o : out std_logic
  140. );
  141. end component des;
  142. begin
  143. s_clk <= not(s_clk) after 10 ns;
  144. teststimuliP : process is
  145. begin
  146. -- ENCRYPTION TESTS
  147. s_mode <= '0';
  148. s_validin <= '0';
  149. s_key <= x"0101010101010101";
  150. s_datain <= x"8000000000000000";
  151. -- Variable plaintext known answer test
  152. for index in c_variable_plaintext_known_answers'range loop
  153. wait until rising_edge(s_clk);
  154. s_validin <= '1';
  155. if(index /= 0) then
  156. s_datain <= '0' & s_datain(0 to 62);
  157. end if;
  158. end loop;
  159. wait until rising_edge(s_clk);
  160. s_mode <= '0';
  161. s_validin <= '0';
  162. s_key <= (others => '0');
  163. s_datain <= (others => '0');
  164. wait for 100 ns;
  165. -- Inverse permutation known answer test
  166. s_key <= x"0101010101010101";
  167. for index in c_variable_plaintext_known_answers'range loop
  168. wait until rising_edge(s_clk);
  169. s_validin <= '1';
  170. s_datain <= c_variable_plaintext_known_answers(index);
  171. end loop;
  172. wait until rising_edge(s_clk);
  173. s_mode <= '0';
  174. s_validin <= '0';
  175. s_key <= (others => '0');
  176. s_datain <= (others => '0');
  177. wait for 100 ns;
  178. -- Variable key known answer test
  179. s_key <= x"8000000000000000";
  180. for index in c_variable_key_known_answers'range loop
  181. wait until rising_edge(s_clk);
  182. s_validin <= '1';
  183. if(index /= 0) then
  184. if(index = 7 or index = 14 or index = 21 or index = 28 or index = 35 or
  185. index = 42 or index = 49) then
  186. s_key <= "00" & s_key(0 to 61);
  187. else
  188. s_key <= '0' & s_key(0 to 62);
  189. end if;
  190. end if;
  191. end loop;
  192. wait until rising_edge(s_clk);
  193. s_mode <= '0';
  194. s_validin <= '0';
  195. s_key <= (others => '0');
  196. s_datain <= (others => '0');
  197. wait for 100 ns;
  198. -- Permutation operation known answer test
  199. s_datain <= x"0000000000000000";
  200. for index in c_permutation_operation_known_answers_keys'range loop
  201. wait until rising_edge(s_clk);
  202. s_validin <= '1';
  203. s_key <= c_permutation_operation_known_answers_keys(index);
  204. end loop;
  205. wait until rising_edge(s_clk);
  206. s_mode <= '0';
  207. s_validin <= '0';
  208. s_key <= (others => '0');
  209. s_datain <= (others => '0');
  210. wait for 100 ns;
  211. -- Substitution table known answer test
  212. for index in c_substitution_table_test_keys'range loop
  213. wait until rising_edge(s_clk);
  214. s_validin <= '1';
  215. s_key <= c_substitution_table_test_keys(index);
  216. s_datain <= c_substitution_table_test_plain(index);
  217. end loop;
  218. wait until rising_edge(s_clk);
  219. -- DECRYPTION TESTS
  220. s_mode <= '0';
  221. s_validin <= '0';
  222. s_key <= (others => '0');
  223. s_datain <= (others => '0');
  224. wait for 100 ns;
  225. -- Variable ciphertext known answer test
  226. s_key <= x"0101010101010101";
  227. for index in c_variable_plaintext_known_answers'range loop
  228. wait until rising_edge(s_clk);
  229. s_mode <= '1';
  230. s_validin <= '1';
  231. s_datain <= c_variable_plaintext_known_answers(index);
  232. end loop;
  233. wait until rising_edge(s_clk);
  234. s_mode <= '0';
  235. s_validin <= '0';
  236. s_key <= (others => '0');
  237. s_datain <= (others => '0');
  238. wait for 100 ns;
  239. -- Initial permutation known answer test
  240. s_key <= x"0101010101010101";
  241. s_datain <= x"8000000000000000";
  242. for index in c_variable_plaintext_known_answers'range loop
  243. wait until rising_edge(s_clk);
  244. s_mode <= '1';
  245. s_validin <= '1';
  246. if(index /= 0) then
  247. s_datain <= '0' & s_datain(0 to 62);
  248. end if;
  249. end loop;
  250. wait until rising_edge(s_clk);
  251. s_mode <= '0';
  252. s_validin <= '0';
  253. s_key <= (others => '0');
  254. s_datain <= (others => '0');
  255. -- Variable key known answer test
  256. s_key <= x"8000000000000000";
  257. for index in c_variable_key_known_answers'range loop
  258. wait until rising_edge(s_clk);
  259. s_mode <= '1';
  260. s_validin <= '1';
  261. s_datain <= c_variable_key_known_answers(index);
  262. if(index /= 0) then
  263. if(index = 7 or index = 14 or index = 21 or index = 28 or index = 35 or
  264. index = 42 or index = 49) then
  265. s_key <= "00" & s_key(0 to 61);
  266. else
  267. s_key <= '0' & s_key(0 to 62);
  268. end if;
  269. end if;
  270. end loop;
  271. wait until rising_edge(s_clk);
  272. s_mode <= '0';
  273. s_validin <= '0';
  274. s_key <= (others => '0');
  275. s_datain <= (others => '0');
  276. wait for 100 ns;
  277. -- Permutation operation known answer test
  278. for index in c_permutation_operation_known_answers_keys'range loop
  279. wait until rising_edge(s_clk);
  280. s_mode <= '1';
  281. s_validin <= '1';
  282. s_datain <= c_permutation_operation_known_answers_cipher(index);
  283. s_key <= c_permutation_operation_known_answers_keys(index);
  284. end loop;
  285. wait until rising_edge(s_clk);
  286. s_mode <= '0';
  287. s_validin <= '0';
  288. s_key <= (others => '0');
  289. s_datain <= (others => '0');
  290. wait for 100 ns;
  291. -- Substitution table known answer test
  292. for index in c_substitution_table_test_keys'range loop
  293. wait until rising_edge(s_clk);
  294. s_mode <= '1';
  295. s_validin <= '1';
  296. s_key <= c_substitution_table_test_keys(index);
  297. s_datain <= c_substitution_table_test_cipher(index);
  298. end loop;
  299. wait until rising_edge(s_clk);
  300. s_mode <= '0';
  301. s_validin <= '0';
  302. s_key <= (others => '0');
  303. s_datain <= (others => '0');
  304. wait;
  305. end process teststimuliP;
  306. testcheckerP : process is
  307. variable v_plaintext : std_logic_vector(0 to 63) := x"8000000000000000";
  308. begin
  309. report "# ENCRYPTION TESTS";
  310. report "# Variable plaintext known answer test";
  311. for index in c_variable_plaintext_known_answers'range loop
  312. wait until rising_edge(s_clk) and s_validout = '1';
  313. assert (s_dataout = c_variable_plaintext_known_answers(index))
  314. report "encryption error"
  315. severity error;
  316. end loop;
  317. report "# Inverse permutation known answer test";
  318. for index in c_variable_plaintext_known_answers'range loop
  319. wait until rising_edge(s_clk) and s_validout = '1';
  320. assert (s_dataout = v_plaintext)
  321. report "encryption error"
  322. severity error;
  323. v_plaintext := '0' & v_plaintext(0 to 62);
  324. end loop;
  325. report "# Variable key known answer test";
  326. for index in c_variable_key_known_answers'range loop
  327. wait until rising_edge(s_clk) and s_validout = '1';
  328. assert (s_dataout = c_variable_key_known_answers(index))
  329. report "encryption error"
  330. severity error;
  331. end loop;
  332. report "# Permutation operation known answer test";
  333. for index in c_permutation_operation_known_answers_cipher'range loop
  334. wait until rising_edge(s_clk) and s_validout = '1';
  335. assert (s_dataout = c_permutation_operation_known_answers_cipher(index))
  336. report "encryption error"
  337. severity error;
  338. end loop;
  339. report "# Substitution table known answer test";
  340. for index in c_substitution_table_test_cipher'range loop
  341. wait until rising_edge(s_clk) and s_validout = '1';
  342. assert (s_dataout = c_substitution_table_test_cipher(index))
  343. report "encryption error"
  344. severity error;
  345. end loop;
  346. report "# DECRYPTION TESTS";
  347. report "# Variable ciphertext known answer test";
  348. v_plaintext := x"8000000000000000";
  349. for index in c_variable_plaintext_known_answers'range loop
  350. wait until rising_edge(s_clk) and s_validout = '1';
  351. assert (s_dataout = v_plaintext)
  352. report "decryption error"
  353. severity error;
  354. v_plaintext := '0' & v_plaintext(0 to 62);
  355. end loop;
  356. report "# Initial permutation known answer test";
  357. for index in c_variable_plaintext_known_answers'range loop
  358. wait until rising_edge(s_clk) and s_validout = '1';
  359. assert (s_dataout = c_variable_plaintext_known_answers(index))
  360. report "decryption error"
  361. severity error;
  362. end loop;
  363. report "# Variable key known answer test";
  364. for index in c_variable_key_known_answers'range loop
  365. wait until rising_edge(s_clk) and s_validout = '1';
  366. assert (s_dataout = x"0000000000000000")
  367. report "decryption error"
  368. severity error;
  369. end loop;
  370. report "# Permutation operation known answer test";
  371. for index in c_permutation_operation_known_answers_keys'range loop
  372. wait until rising_edge(s_clk) and s_validout = '1';
  373. assert (s_dataout = x"0000000000000000")
  374. report "decryption error"
  375. severity error;
  376. end loop;
  377. report "# Substitution table known answer test";
  378. for index in c_substitution_table_test_cipher'range loop
  379. wait until rising_edge(s_clk) and s_validout = '1';
  380. assert (s_dataout = c_substitution_table_test_plain(index))
  381. report "decryption error"
  382. severity error;
  383. end loop;
  384. report "# Successfully passed all tests";
  385. wait;
  386. end process testcheckerP;
  387. i_des : des
  388. port map (
  389. clk_i => s_clk,
  390. mode_i => s_mode,
  391. key_i => s_key,
  392. data_i => s_datain,
  393. valid_i => s_validin,
  394. data_o => s_dataout,
  395. valid_o => s_validout
  396. );
  397. end architecture rtl;