cryptography ip-cores in vhdl / verilog
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

42 lines
1.4 KiB

  1. # ======================================================================
  2. # DES encryption/decryption
  3. # algorithm according to FIPS 46-3 specification
  4. # Copyright (C) 2011 Torsten Meissner
  5. #-----------------------------------------------------------------------
  6. # This program is free software; you can redistribute it and/or modify
  7. # it under the terms of the GNU General Public License as published by
  8. # the Free Software Foundation; either version 2 of the License, or
  9. # (at your option) any later version.
  10. # This program is distributed in the hope that it will be useful,
  11. # but WITHOUT ANY WARRANTY; without even the implied warranty of
  12. # MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
  13. # GNU General Public License for more details.
  14. # You should have received a copy of the GNU General Public License
  15. # along with this program; if not, write to the Free Software
  16. # Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
  17. # ======================================================================
  18. SRC_FILES = ../../rtl/vhdl/des_pkg.vhd ../../rtl/vhdl/des.vhd ../../rtl/vhdl/tdes.vhd
  19. all : sim wave
  20. sim : tb_tdes.ghw
  21. tb_tdes.ghw : $(SRC_FILES) tb_tdes.vhd
  22. ghdl -a $(SRC_FILES) tb_tdes.vhd
  23. ghdl -e tb_tdes
  24. ghdl -r tb_tdes --wave=tb_tdes.ghw --assert-level=error --stop-time=45us
  25. wave : tb_tdes.ghw
  26. gtkwave -s tb_tdes.tcl tb_tdes.ghw
  27. clean :
  28. echo "# cleaning simulation files"
  29. rm -f *.ghw
  30. rm -f *.o
  31. rm -f tb_tdes
  32. rm -f work*.cf