cryptography ip-cores in vhdl / verilog
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

57 lines
2.1 KiB

  1. # ======================================================================
  2. # AES encryption/decryption
  3. # algorithm according to FIPS 197 specification
  4. # Copyright (C) 2011 Torsten Meissner
  5. #-----------------------------------------------------------------------
  6. # This program is free software; you can redistribute it and/or modify
  7. # it under the terms of the GNU General Public License as published by
  8. # the Free Software Foundation; either version 2 of the License, or
  9. # (at your option) any later version.
  10. # This program is distributed in the hope that it will be useful,
  11. # but WITHOUT ANY WARRANTY; without even the implied warranty of
  12. # MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
  13. # GNU General Public License for more details.
  14. # You should have received a copy of the GNU General Public License
  15. # along with this program; if not, write to the Free Software
  16. # Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
  17. # ======================================================================
  18. # enable the compile of the OVL library
  19. # if set to 1, you must have the OVL_SRC files in the OVL_LOC directory
  20. OVL_ENABLE = 1
  21. # the location and sources of the OVL library (copyright of Accellera)
  22. # OVL is not included in this project, you can download it from
  23. # the Accellera homepage: http://www.accellera.org/downloads/standards/ovl/
  24. OVL_LOC = ../../../../../OVL/
  25. ifeq ($(OVL_ENABLE), 1)
  26. OVL_SRC = $(OVL_LOC)/std_ovl.vhd $(OVL_LOC)/std_ovl_components.vhd \
  27. $(OVL_LOC)/std_ovl_procs.vhd $(OVL_LOC)/std_ovl_clock_gating.vhd \
  28. $(OVL_LOC)/std_ovl_reset_gating.vhd $(OVL_LOC)/ovl_*.vhd \
  29. $(OVL_LOC)/vhdl93/ovl_*_rtl.vhd
  30. OVL = _ovl
  31. else
  32. OVL_SRC =
  33. OVL =
  34. endif
  35. all : sim wave
  36. sim : tb_aes.ghw
  37. tb_aes.ghw : ../../rtl/vhdl/*.vhd tb_aes$(OVL).vhd $(OVL_SRC)
  38. ghdl -a --work=accellera_ovl_vhdl $(OVL_SRC)
  39. ghdl -a ../../rtl/vhdl/aes_pkg$(OVL).vhd ../../rtl/vhdl/aes.vhd tb_aes$(OVL).vhd
  40. ghdl -e tb_aes
  41. ghdl -r tb_aes --wave=tb_aes.ghw --assert-level=error --stop-time=10us
  42. wave : tb_aes.ghw
  43. gtkwave -T tb_aes.tcl tb_aes.ghw
  44. clean :
  45. echo "# cleaning simulation files"
  46. rm -f tb_aes.ghw
  47. rm -f *.cf