cryptography ip-cores in vhdl / verilog
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

41 lines
1.5 KiB

  1. // ======================================================================
  2. // AES encryption/decryption
  3. // algorithm according to FIPS 197 specification
  4. // Copyright (C) 2012 Torsten Meissner
  5. //-----------------------------------------------------------------------
  6. // This program is free software; you can redistribute it and/or modify
  7. // it under the terms of the GNU General Public License as published by
  8. // the Free Software Foundation; either version 2 of the License, or
  9. // (at your option) any later version.
  10. //
  11. // This program is distributed in the hope that it will be useful,
  12. // but WITHOUT ANY WARRANTY; without even the implied warranty of
  13. // MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
  14. // GNU General Public License for more details.
  15. //
  16. // You should have received a copy of the GNU General Public License
  17. // along with this program; if not, write to the Free Software
  18. // Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
  19. // ======================================================================
  20. module aes
  21. #(
  22. parameter ovl_enable = 0
  23. )
  24. (
  25. input reset_i, // async reset
  26. input clk_i, // clock
  27. input mode_i, // aes-modus: 0 = encrypt, 1 = decrypt
  28. input [0:127] key_i, // key input
  29. input [0:127] data_i, // data input
  30. input valid_i, // input key/data valid flag
  31. output [0:127] data_o, // data output
  32. output valid_o // output data valid flag
  33. );
  34. `include aes_pkg.v;
  35. endmodule