cryptography ip-cores in vhdl / verilog
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

568 lines
22 KiB

  1. -- ======================================================================
  2. -- CBC-DES encryption/decryption testbench
  3. -- tests according to NIST 800-17 special publication
  4. -- Copyright (C) 2011 Torsten Meissner
  5. -------------------------------------------------------------------------
  6. -- This program is free software; you can redistribute it and/or modify
  7. -- it under the terms of the GNU General Public License as published by
  8. -- the Free Software Foundation; either version 2 of the License, or
  9. -- (at your option) any later version.
  10. -- This program is distributed in the hope that it will be useful,
  11. -- but WITHOUT ANY WARRANTY; without even the implied warranty of
  12. -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
  13. -- GNU General Public License for more details.
  14. -- You should have received a copy of the GNU General Public License
  15. -- along with this program; if not, write to the Free Software
  16. -- Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
  17. -- ======================================================================
  18. -- Revision 1.0 2011/09/23
  19. -- Initial release, variable plaintext known answer test integrated
  20. -- Revision 1.1 2011/09/25
  21. -- integrated all encryption tests of NIST 800-17 publication except the modes-tests
  22. -- Revision 1.2 2011/10/05
  23. -- integrated all decryption tests of NIST 800-17 publication except the modes-tests
  24. library ieee;
  25. use ieee.std_logic_1164.all;
  26. use ieee.numeric_std.all;
  27. entity tb_cbcdes is
  28. end entity tb_cbcdes;
  29. architecture rtl of tb_cbcdes is
  30. type t_array is array (natural range <>) of std_logic_vector(0 to 63);
  31. constant c_variable_plaintext_known_answers : t_array(0 to 63) :=
  32. (x"95F8A5E5DD31D900", x"DD7F121CA5015619", x"2E8653104F3834EA",
  33. x"4BD388FF6CD81D4F", x"20B9E767B2FB1456", x"55579380D77138EF",
  34. x"6CC5DEFAAF04512F", x"0D9F279BA5D87260", x"D9031B0271BD5A0A",
  35. x"424250B37C3DD951", x"B8061B7ECD9A21E5", x"F15D0F286B65BD28",
  36. x"ADD0CC8D6E5DEBA1", x"E6D5F82752AD63D1", x"ECBFE3BD3F591A5E",
  37. x"F356834379D165CD", x"2B9F982F20037FA9", x"889DE068A16F0BE6",
  38. x"E19E275D846A1298", x"329A8ED523D71AEC", x"E7FCE22557D23C97",
  39. x"12A9F5817FF2D65D", x"A484C3AD38DC9C19", x"FBE00A8A1EF8AD72",
  40. x"750D079407521363", x"64FEED9C724C2FAF", x"F02B263B328E2B60",
  41. x"9D64555A9A10B852", x"D106FF0BED5255D7", x"E1652C6B138C64A5",
  42. x"E428581186EC8F46", x"AEB5F5EDE22D1A36", x"E943D7568AEC0C5C",
  43. x"DF98C8276F54B04B", x"B160E4680F6C696F", x"FA0752B07D9C4AB8",
  44. x"CA3A2B036DBC8502", x"5E0905517BB59BCF", x"814EEB3B91D90726",
  45. x"4D49DB1532919C9F", x"25EB5FC3F8CF0621", x"AB6A20C0620D1C6F",
  46. x"79E90DBC98F92CCA", x"866ECEDD8072BB0E", x"8B54536F2F3E64A8",
  47. x"EA51D3975595B86B", x"CAFFC6AC4542DE31", x"8DD45A2DDF90796C",
  48. x"1029D55E880EC2D0", x"5D86CB23639DBEA9", x"1D1CA853AE7C0C5F",
  49. x"CE332329248F3228", x"8405D1ABE24FB942", x"E643D78090CA4207",
  50. x"48221B9937748A23", x"DD7C0BBD61FAFD54", x"2FBC291A570DB5C4",
  51. x"E07C30D7E4E26E12", x"0953E2258E8E90A1", x"5B711BC4CEEBF2EE",
  52. x"CC083F1E6D9E85F6", x"D2FD8867D50D2DFE", x"06E7EA22CE92708F",
  53. x"166B40B44ABA4BD6");
  54. constant c_variable_key_known_answers : t_array(0 to 55) :=
  55. (x"95A8D72813DAA94D", x"0EEC1487DD8C26D5", x"7AD16FFB79C45926",
  56. x"D3746294CA6A6CF3", x"809F5F873C1FD761", x"C02FAFFEC989D1FC",
  57. x"4615AA1D33E72F10", x"2055123350C00858", x"DF3B99D6577397C8",
  58. x"31FE17369B5288C9", x"DFDD3CC64DAE1642", x"178C83CE2B399D94",
  59. x"50F636324A9B7F80", x"A8468EE3BC18F06D", x"A2DC9E92FD3CDE92",
  60. x"CAC09F797D031287", x"90BA680B22AEB525", x"CE7A24F350E280B6",
  61. x"882BFF0AA01A0B87", x"25610288924511C2", x"C71516C29C75D170",
  62. x"5199C29A52C9F059", x"C22F0A294A71F29F", x"EE371483714C02EA",
  63. x"A81FBD448F9E522F", x"4F644C92E192DFED", x"1AFA9A66A6DF92AE",
  64. x"B3C1CC715CB879D8", x"19D032E64AB0BD8B", x"3CFAA7A7DC8720DC",
  65. x"B7265F7F447AC6F3", x"9DB73B3C0D163F54", x"8181B65BABF4A975",
  66. x"93C9B64042EAA240", x"5570530829705592", x"8638809E878787A0",
  67. x"41B9A79AF79AC208", x"7A9BE42F2009A892", x"29038D56BA6D2745",
  68. x"5495C6ABF1E5DF51", x"AE13DBD561488933", x"024D1FFA8904E389",
  69. x"D1399712F99BF02E", x"14C1D7C1CFFEC79E", x"1DE5279DAE3BED6F",
  70. x"E941A33F85501303", x"DA99DBBC9A03F379", x"B7FC92F91D8E92E9",
  71. x"AE8E5CAA3CA04E85", x"9CC62DF43B6EED74", x"D863DBB5C59A91A0",
  72. x"A1AB2190545B91D7", x"0875041E64C570F7", x"5A594528BEBEF1CC",
  73. x"FCDB3291DE21F0C0", x"869EFD7F9F265A09");
  74. constant c_permutation_operation_known_answers_keys : t_array(0 to 31) :=
  75. (x"1046913489980131", x"1007103489988020", x"10071034C8980120",
  76. x"1046103489988020", x"1086911519190101", x"1086911519580101",
  77. x"5107B01519580101", x"1007B01519190101", x"3107915498080101",
  78. x"3107919498080101", x"10079115B9080140", x"3107911598080140",
  79. x"1007D01589980101", x"9107911589980101", x"9107D01589190101",
  80. x"1007D01598980120", x"1007940498190101", x"0107910491190401",
  81. x"0107910491190101", x"0107940491190401", x"19079210981A0101",
  82. x"1007911998190801", x"10079119981A0801", x"1007921098190101",
  83. x"100791159819010B", x"1004801598190101", x"1004801598190102",
  84. x"1004801598190108", x"1002911598100104", x"1002911598190104",
  85. x"1002911598100201", x"1002911698100101");
  86. constant c_permutation_operation_known_answers_cipher : t_array(0 to 31) :=
  87. (x"88D55E54F54C97B4", x"0C0CC00C83EA48FD", x"83BC8EF3A6570183",
  88. x"DF725DCAD94EA2E9", x"E652B53B550BE8B0", x"AF527120C485CBB0",
  89. x"0F04CE393DB926D5", x"C9F00FFC74079067", x"7CFD82A593252B4E",
  90. x"CB49A2F9E91363E3", x"00B588BE70D23F56", x"406A9A6AB43399AE",
  91. x"6CB773611DCA9ADA", x"67FD21C17DBB5D70", x"9592CB4110430787",
  92. x"A6B7FF68A318DDD3", x"4D102196C914CA16", x"2DFA9F4573594965",
  93. x"B46604816C0E0774", x"6E7E6221A4F34E87", x"AA85E74643233199",
  94. x"2E5A19DB4D1962D6", x"23A866A809D30894", x"D812D961F017D320",
  95. x"055605816E58608F", x"ABD88E8B1B7716F1", x"537AC95BE69DA1E1",
  96. x"AED0F6AE3C25CDD8", x"B3E35A5EE53E7B8D", x"61C79C71921A2EF8",
  97. x"E2F5728F0995013C", x"1AEAC39A61F0A464");
  98. constant c_substitution_table_test_keys : t_array(0 to 18) :=
  99. (x"7CA110454A1A6E57", x"0131D9619DC1376E", x"07A1133E4A0B2686",
  100. x"3849674C2602319E", x"04B915BA43FEB5B6", x"0113B970FD34F2CE",
  101. x"0170F175468FB5E6", x"43297FAD38E373FE", x"07A7137045DA2A16",
  102. x"04689104C2FD3B2F", x"37D06BB516CB7546", x"1F08260D1AC2465E",
  103. x"584023641ABA6176", x"025816164629B007", x"49793EBC79B3258F",
  104. x"4FB05E1515AB73A7", x"49E95D6D4CA229BF", x"018310DC409B26D6",
  105. x"1C587F1C13924FEF");
  106. constant c_substitution_table_test_plain : t_array(0 to 18) :=
  107. (x"01A1D6D039776742", x"5CD54CA83DEF57DA", x"0248D43806F67172",
  108. x"51454B582DDF440A", x"42FD443059577FA2", x"059B5E0851CF143A",
  109. x"0756D8E0774761D2", x"762514B829BF486A", x"3BDD119049372802",
  110. x"26955F6835AF609A", x"164D5E404F275232", x"6B056E18759F5CCA",
  111. x"004BD6EF09176062", x"480D39006EE762F2", x"437540C8698F3CFA",
  112. x"072D43A077075292", x"02FE55778117F12A", x"1D9D5C5018F728C2",
  113. x"305532286D6F295A");
  114. constant c_substitution_table_test_cipher : t_array(0 to 18) :=
  115. (x"690F5B0D9A26939B", x"7A389D10354BD271", x"868EBB51CAB4599A",
  116. x"7178876E01F19B2A", x"AF37FB421F8C4095", x"86A560F10EC6D85B",
  117. x"0CD3DA020021DC09", x"EA676B2CB7DB2B7A", x"DFD64A815CAF1A0F",
  118. x"5C513C9C4886C088", x"0A2AEEAE3FF4AB77", x"EF1BF03E5DFA575A",
  119. x"88BF0DB6D70DEE56", x"A1F9915541020B56", x"6FBF1CAFCFFD0556",
  120. x"2F22E49BAB7CA1AC", x"5A6B612CC26CCE4A", x"5F4C038ED12B2E41",
  121. x"63FAC0D034D9F793");
  122. signal s_cbc_answers : t_array(0 to 19);
  123. signal s_reset : std_logic := '0';
  124. signal s_clk : std_logic := '0';
  125. signal s_start : std_logic := '0';
  126. signal s_mode : std_logic := '0';
  127. signal s_key : std_logic_vector(0 to 63) := (others => '0');
  128. signal s_iv : std_logic_vector(0 to 63) := (others => '0');
  129. signal s_datain : std_logic_vector(0 to 63) := (others => '0');
  130. signal s_validin : std_logic := '0';
  131. signal s_ready : std_logic := '0';
  132. signal s_dataout : std_logic_vector(0 to 63);
  133. signal s_validout : std_logic;
  134. component cbcdes is
  135. port (
  136. reset_i : in std_logic;
  137. clk_i : in std_logic;
  138. mode_i : in std_logic;
  139. start_i : in std_logic;
  140. iv_i : in std_logic_vector(0 to 63);
  141. key_i : in std_logic_vector(0 TO 63);
  142. data_i : in std_logic_vector(0 TO 63);
  143. valid_i : in std_logic;
  144. ready_o : out std_logic;
  145. data_o : out std_logic_vector(0 TO 63);
  146. valid_o : out std_logic
  147. );
  148. end component cbcdes;
  149. begin
  150. s_reset <= '1' after 100 ns;
  151. s_clk <= not(s_clk) after 10 ns;
  152. teststimuliP : process is
  153. begin
  154. -- ENCRYPTION TESTS
  155. s_start <= '0';
  156. s_mode <= '0';
  157. s_validin <= '0';
  158. s_iv <= (others => '0');
  159. s_key <= x"0101010101010101";
  160. s_datain <= x"8000000000000000";
  161. -- Variable plaintext known answer test
  162. for index in c_variable_plaintext_known_answers'range loop
  163. wait until rising_edge(s_clk) and s_ready = '1';
  164. s_validin <= '1';
  165. s_start <= '1';
  166. if(index /= 0) then
  167. s_datain <= '0' & s_datain(0 to 62);
  168. end if;
  169. wait until rising_edge(s_clk);
  170. s_validin <= '0';
  171. s_start <= '0';
  172. end loop;
  173. wait until rising_edge(s_clk);
  174. s_start <= '0';
  175. s_mode <= '0';
  176. s_validin <= '0';
  177. s_iv <= (others => '0');
  178. s_key <= (others => '0');
  179. s_datain <= (others => '0');
  180. wait for 1 us;
  181. -- Inverse permutation known answer test
  182. s_key <= x"0101010101010101";
  183. for index in c_variable_plaintext_known_answers'range loop
  184. wait until rising_edge(s_clk) and s_ready = '1';
  185. s_validin <= '1';
  186. s_start <= '1';
  187. s_datain <= c_variable_plaintext_known_answers(index);
  188. wait until rising_edge(s_clk);
  189. s_validin <= '0';
  190. s_start <= '0';
  191. end loop;
  192. wait until rising_edge(s_clk);
  193. s_start <= '0';
  194. s_mode <= '0';
  195. s_validin <= '0';
  196. s_iv <= (others => '0');
  197. s_key <= (others => '0');
  198. s_datain <= (others => '0');
  199. wait for 1 us;
  200. -- Variable key known answer test
  201. s_key <= x"8000000000000000";
  202. for index in c_variable_key_known_answers'range loop
  203. wait until rising_edge(s_clk) and s_ready = '1';
  204. s_validin <= '1';
  205. s_start <= '1';
  206. if(index /= 0) then
  207. if(index = 7 or index = 14 or index = 21 or index = 28 or index = 35 or
  208. index = 42 or index = 49) then
  209. s_key <= "00" & s_key(0 to 61);
  210. else
  211. s_key <= '0' & s_key(0 to 62);
  212. end if;
  213. end if;
  214. wait until rising_edge(s_clk);
  215. s_validin <= '0';
  216. s_start <= '0';
  217. end loop;
  218. wait until rising_edge(s_clk);
  219. s_start <= '0';
  220. s_mode <= '0';
  221. s_validin <= '0';
  222. s_iv <= (others => '0');
  223. s_key <= (others => '0');
  224. s_datain <= (others => '0');
  225. wait for 1 us;
  226. -- Permutation operation known answer test
  227. s_datain <= x"0000000000000000";
  228. for index in c_permutation_operation_known_answers_keys'range loop
  229. wait until rising_edge(s_clk) and s_ready = '1';
  230. s_validin <= '1';
  231. s_start <= '1';
  232. s_key <= c_permutation_operation_known_answers_keys(index);
  233. wait until rising_edge(s_clk);
  234. s_validin <= '0';
  235. s_start <= '0';
  236. end loop;
  237. wait until rising_edge(s_clk);
  238. s_start <= '0';
  239. s_mode <= '0';
  240. s_validin <= '0';
  241. s_iv <= (others => '0');
  242. s_key <= (others => '0');
  243. s_datain <= (others => '0');
  244. wait for 1 us;
  245. -- Substitution table known answer test
  246. for index in c_substitution_table_test_keys'range loop
  247. wait until rising_edge(s_clk) and s_ready = '1';
  248. s_validin <= '1';
  249. s_start <= '1';
  250. s_key <= c_substitution_table_test_keys(index);
  251. s_datain <= c_substitution_table_test_plain(index);
  252. wait until rising_edge(s_clk);
  253. s_validin <= '0';
  254. s_start <= '0';
  255. end loop;
  256. wait until rising_edge(s_clk);
  257. s_start <= '0';
  258. s_mode <= '0';
  259. s_validin <= '0';
  260. s_iv <= (others => '0');
  261. s_key <= (others => '0');
  262. s_datain <= (others => '0');
  263. wait for 1 us;
  264. -- cbc known answers test
  265. for index in c_substitution_table_test_keys'range loop
  266. wait until rising_edge(s_clk) and s_ready = '1';
  267. if(index = 0) then
  268. s_start <= '1';
  269. s_key <= x"5555555555555555";
  270. s_iv <= x"DEADBEEFDEADBEEF";
  271. end if;
  272. s_validin <= '1';
  273. s_datain <= c_substitution_table_test_plain(index);
  274. wait until rising_edge(s_clk);
  275. s_validin <= '0';
  276. s_start <= '0';
  277. end loop;
  278. wait until rising_edge(s_clk);
  279. -- DECRYPTION TESTS
  280. s_start <= '0';
  281. s_mode <= '0';
  282. s_validin <= '0';
  283. s_iv <= (others => '0');
  284. s_key <= (others => '0');
  285. s_datain <= (others => '0');
  286. wait for 1 us;
  287. -- Variable ciphertext known answer test
  288. s_key <= x"0101010101010101";
  289. for index in c_variable_plaintext_known_answers'range loop
  290. wait until rising_edge(s_clk) and s_ready = '1';
  291. s_mode <= '1';
  292. s_start <= '1';
  293. s_validin <= '1';
  294. s_datain <= c_variable_plaintext_known_answers(index);
  295. wait until rising_edge(s_clk);
  296. s_validin <= '0';
  297. s_start <= '0';
  298. s_mode <= '0';
  299. end loop;
  300. wait until rising_edge(s_clk);
  301. s_start <= '0';
  302. s_mode <= '0';
  303. s_validin <= '0';
  304. s_iv <= (others => '0');
  305. s_key <= (others => '0');
  306. s_datain <= (others => '0');
  307. wait for 1 us;
  308. -- Initial permutation known answer test
  309. s_key <= x"0101010101010101";
  310. s_datain <= x"8000000000000000";
  311. for index in c_variable_plaintext_known_answers'range loop
  312. wait until rising_edge(s_clk) and s_ready = '1';
  313. s_mode <= '1';
  314. s_start <= '1';
  315. s_validin <= '1';
  316. if(index /= 0) then
  317. s_datain <= '0' & s_datain(0 to 62);
  318. end if;
  319. wait until rising_edge(s_clk);
  320. s_validin <= '0';
  321. s_start <= '0';
  322. s_mode <= '0';
  323. end loop;
  324. wait until rising_edge(s_clk);
  325. s_start <= '0';
  326. s_mode <= '0';
  327. s_validin <= '0';
  328. s_iv <= (others => '0');
  329. s_key <= (others => '0');
  330. s_datain <= (others => '0');
  331. wait for 1 us;
  332. -- Variable key known answer test
  333. s_key <= x"8000000000000000";
  334. for index in c_variable_key_known_answers'range loop
  335. wait until rising_edge(s_clk) and s_ready = '1';
  336. s_mode <= '1';
  337. s_start <= '1';
  338. s_validin <= '1';
  339. s_datain <= c_variable_key_known_answers(index);
  340. if(index /= 0) then
  341. if(index = 7 or index = 14 or index = 21 or index = 28 or index = 35 or
  342. index = 42 or index = 49) then
  343. s_key <= "00" & s_key(0 to 61);
  344. else
  345. s_key <= '0' & s_key(0 to 62);
  346. end if;
  347. end if;
  348. wait until rising_edge(s_clk);
  349. s_validin <= '0';
  350. s_start <= '0';
  351. s_mode <= '0';
  352. end loop;
  353. wait until rising_edge(s_clk);
  354. s_start <= '0';
  355. s_mode <= '0';
  356. s_validin <= '0';
  357. s_iv <= (others => '0');
  358. s_key <= (others => '0');
  359. s_datain <= (others => '0');
  360. wait for 1 us;
  361. -- Permutation operation known answer test
  362. for index in c_permutation_operation_known_answers_keys'range loop
  363. wait until rising_edge(s_clk) and s_ready = '1';
  364. s_mode <= '1';
  365. s_start <= '1';
  366. s_validin <= '1';
  367. s_datain <= c_permutation_operation_known_answers_cipher(index);
  368. s_key <= c_permutation_operation_known_answers_keys(index);
  369. wait until rising_edge(s_clk);
  370. s_validin <= '0';
  371. s_start <= '0';
  372. s_mode <= '0';
  373. end loop;
  374. wait until rising_edge(s_clk);
  375. s_start <= '0';
  376. s_mode <= '0';
  377. s_validin <= '0';
  378. s_iv <= (others => '0');
  379. s_key <= (others => '0');
  380. s_datain <= (others => '0');
  381. wait for 1 us;
  382. -- Substitution table known answer test
  383. for index in c_substitution_table_test_keys'range loop
  384. wait until rising_edge(s_clk) and s_ready = '1';
  385. s_mode <= '1';
  386. s_start <= '1';
  387. s_validin <= '1';
  388. s_key <= c_substitution_table_test_keys(index);
  389. s_datain <= c_substitution_table_test_cipher(index);
  390. wait until rising_edge(s_clk);
  391. s_validin <= '0';
  392. s_start <= '0';
  393. s_mode <= '0';
  394. end loop;
  395. wait until rising_edge(s_clk);
  396. s_start <= '0';
  397. s_mode <= '0';
  398. s_validin <= '0';
  399. s_iv <= (others => '0');
  400. s_key <= (others => '0');
  401. s_datain <= (others => '0');
  402. wait for 1 us;
  403. -- cbc known answer test
  404. for index in c_substitution_table_test_keys'range loop
  405. wait until rising_edge(s_clk) and s_ready = '1';
  406. if(index = 0) then
  407. s_mode <= '1';
  408. s_start <= '1';
  409. s_key <= x"5555555555555555";
  410. s_iv <= x"DEADBEEFDEADBEEF";
  411. end if;
  412. s_validin <= '1';
  413. s_datain <= s_cbc_answers(index);
  414. wait until rising_edge(s_clk);
  415. s_validin <= '0';
  416. s_start <= '0';
  417. s_mode <= '0';
  418. end loop;
  419. wait until rising_edge(s_clk);
  420. s_start <= '0';
  421. s_mode <= '0';
  422. s_validin <= '0';
  423. s_iv <= (others => '0');
  424. s_key <= (others => '0');
  425. s_datain <= (others => '0');
  426. wait;
  427. end process teststimuliP;
  428. testcheckerP : process is
  429. variable v_plaintext : std_logic_vector(0 to 63) := x"8000000000000000";
  430. begin
  431. report "# ENCRYPTION TESTS";
  432. report "# Variable plaintext known answer test";
  433. for index in c_variable_plaintext_known_answers'range loop
  434. wait until rising_edge(s_clk) and s_validout = '1';
  435. assert (s_dataout = c_variable_plaintext_known_answers(index))
  436. report "encryption error"
  437. severity error;
  438. end loop;
  439. report "# Inverse permutation known answer test";
  440. for index in c_variable_plaintext_known_answers'range loop
  441. wait until rising_edge(s_clk) and s_validout = '1';
  442. assert (s_dataout = v_plaintext)
  443. report "encryption error"
  444. severity error;
  445. v_plaintext := '0' & v_plaintext(0 to 62);
  446. end loop;
  447. report "# Variable key known answer test";
  448. for index in c_variable_key_known_answers'range loop
  449. wait until rising_edge(s_clk) and s_validout = '1';
  450. assert (s_dataout = c_variable_key_known_answers(index))
  451. report "encryption error"
  452. severity error;
  453. end loop;
  454. report "# Permutation operation known answer test";
  455. for index in c_permutation_operation_known_answers_cipher'range loop
  456. wait until rising_edge(s_clk) and s_validout = '1';
  457. assert (s_dataout = c_permutation_operation_known_answers_cipher(index))
  458. report "encryption error"
  459. severity error;
  460. end loop;
  461. report "# Substitution table known answer test";
  462. for index in c_substitution_table_test_cipher'range loop
  463. wait until rising_edge(s_clk) and s_validout = '1';
  464. assert (s_dataout = c_substitution_table_test_cipher(index))
  465. report "encryption error"
  466. severity error;
  467. end loop;
  468. for index in c_substitution_table_test_cipher'range loop
  469. wait until rising_edge(s_clk) and s_validout = '1';
  470. s_cbc_answers(index) <= s_dataout;
  471. end loop;
  472. report "# DECRYPTION TESTS";
  473. report "# Variable ciphertext known answer test";
  474. v_plaintext := x"8000000000000000";
  475. for index in c_variable_plaintext_known_answers'range loop
  476. wait until rising_edge(s_clk) and s_validout = '1';
  477. assert (s_dataout = v_plaintext)
  478. report "decryption error"
  479. severity error;
  480. v_plaintext := '0' & v_plaintext(0 to 62);
  481. end loop;
  482. report "# Initial permutation known answer test";
  483. for index in c_variable_plaintext_known_answers'range loop
  484. wait until rising_edge(s_clk) and s_validout = '1';
  485. assert (s_dataout = c_variable_plaintext_known_answers(index))
  486. report "decryption error"
  487. severity error;
  488. end loop;
  489. report "# Variable key known answer test";
  490. for index in c_variable_key_known_answers'range loop
  491. wait until rising_edge(s_clk) and s_validout = '1';
  492. assert (s_dataout = x"0000000000000000")
  493. report "decryption error"
  494. severity error;
  495. end loop;
  496. report "# Permutation operation known answer test";
  497. for index in c_permutation_operation_known_answers_keys'range loop
  498. wait until rising_edge(s_clk) and s_validout = '1';
  499. assert (s_dataout = x"0000000000000000")
  500. report "decryption error"
  501. severity error;
  502. end loop;
  503. report "# Substitution table known answer test";
  504. for index in c_substitution_table_test_cipher'range loop
  505. wait until rising_edge(s_clk) and s_validout = '1';
  506. assert (s_dataout = c_substitution_table_test_plain(index))
  507. report "decryption error"
  508. severity error;
  509. end loop;
  510. report "# cbc known answer test";
  511. for index in c_substitution_table_test_cipher'range loop
  512. wait until rising_edge(s_clk) and s_validout = '1';
  513. assert (s_dataout = c_substitution_table_test_plain(index))
  514. report "decryption error"
  515. severity error;
  516. end loop;
  517. report "# Successfully passed all tests";
  518. wait;
  519. end process testcheckerP;
  520. i_cbcdes : cbcdes
  521. port map (
  522. reset_i => s_reset,
  523. clk_i => s_clk,
  524. start_i => s_start,
  525. mode_i => s_mode,
  526. key_i => s_key,
  527. iv_i => s_iv,
  528. data_i => s_datain,
  529. valid_i => s_validin,
  530. ready_o => s_ready,
  531. data_o => s_dataout,
  532. valid_o => s_validout
  533. );
  534. end architecture rtl;