cryptography ip-cores in vhdl / verilog
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

435 lines
17 KiB

  1. -- ======================================================================
  2. -- DES encryption/decryption testbench
  3. -- tests according to NIST 800-17 special publication
  4. -- Copyright (C) 2011 Torsten Meissner
  5. -------------------------------------------------------------------------
  6. -- This program is free software; you can redistribute it and/or modify
  7. -- it under the terms of the GNU General Public License as published by
  8. -- the Free Software Foundation; either version 2 of the License, or
  9. -- (at your option) any later version.
  10. -- This program is distributed in the hope that it will be useful,
  11. -- but WITHOUT ANY WARRANTY; without even the implied warranty of
  12. -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
  13. -- GNU General Public License for more details.
  14. -- You should have received a copy of the GNU General Public License
  15. -- along with this program; if not, write to the Free Software
  16. -- Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
  17. -- ======================================================================
  18. -- Revision 1.0 2011/09/17
  19. -- Initial release
  20. -- Revision 1.0.1 2011/09/18
  21. -- tests partial adopted to NIST 800-16 publication
  22. -- Revision 1.0.2 2011/09/18
  23. -- includes more tests of NIST 800-16 publication
  24. -- Revision 1.1 2011/09/18
  25. -- now with all ecb tests of NIST 800-17 publication except the modes-tests
  26. library ieee;
  27. use ieee.std_logic_1164.all;
  28. use ieee.numeric_std.all;
  29. entity tb_des is
  30. end entity tb_des;
  31. architecture rtl of tb_des is
  32. type t_array is array (natural range <>) of std_logic_vector(0 to 63);
  33. constant c_variable_plaintext_known_answers : t_array(0 to 63) :=
  34. (x"95F8A5E5DD31D900", x"DD7F121CA5015619", x"2E8653104F3834EA",
  35. x"4BD388FF6CD81D4F", x"20B9E767B2FB1456", x"55579380D77138EF",
  36. x"6CC5DEFAAF04512F", x"0D9F279BA5D87260", x"D9031B0271BD5A0A",
  37. x"424250B37C3DD951", x"B8061B7ECD9A21E5", x"F15D0F286B65BD28",
  38. x"ADD0CC8D6E5DEBA1", x"E6D5F82752AD63D1", x"ECBFE3BD3F591A5E",
  39. x"F356834379D165CD", x"2B9F982F20037FA9", x"889DE068A16F0BE6",
  40. x"E19E275D846A1298", x"329A8ED523D71AEC", x"E7FCE22557D23C97",
  41. x"12A9F5817FF2D65D", x"A484C3AD38DC9C19", x"FBE00A8A1EF8AD72",
  42. x"750D079407521363", x"64FEED9C724C2FAF", x"F02B263B328E2B60",
  43. x"9D64555A9A10B852", x"D106FF0BED5255D7", x"E1652C6B138C64A5",
  44. x"E428581186EC8F46", x"AEB5F5EDE22D1A36", x"E943D7568AEC0C5C",
  45. x"DF98C8276F54B04B", x"B160E4680F6C696F", x"FA0752B07D9C4AB8",
  46. x"CA3A2B036DBC8502", x"5E0905517BB59BCF", x"814EEB3B91D90726",
  47. x"4D49DB1532919C9F", x"25EB5FC3F8CF0621", x"AB6A20C0620D1C6F",
  48. x"79E90DBC98F92CCA", x"866ECEDD8072BB0E", x"8B54536F2F3E64A8",
  49. x"EA51D3975595B86B", x"CAFFC6AC4542DE31", x"8DD45A2DDF90796C",
  50. x"1029D55E880EC2D0", x"5D86CB23639DBEA9", x"1D1CA853AE7C0C5F",
  51. x"CE332329248F3228", x"8405D1ABE24FB942", x"E643D78090CA4207",
  52. x"48221B9937748A23", x"DD7C0BBD61FAFD54", x"2FBC291A570DB5C4",
  53. x"E07C30D7E4E26E12", x"0953E2258E8E90A1", x"5B711BC4CEEBF2EE",
  54. x"CC083F1E6D9E85F6", x"D2FD8867D50D2DFE", x"06E7EA22CE92708F",
  55. x"166B40B44ABA4BD6");
  56. constant c_variable_key_known_answers : t_array(0 to 55) :=
  57. (x"95A8D72813DAA94D", x"0EEC1487DD8C26D5", x"7AD16FFB79C45926",
  58. x"D3746294CA6A6CF3", x"809F5F873C1FD761", x"C02FAFFEC989D1FC",
  59. x"4615AA1D33E72F10", x"2055123350C00858", x"DF3B99D6577397C8",
  60. x"31FE17369B5288C9", x"DFDD3CC64DAE1642", x"178C83CE2B399D94",
  61. x"50F636324A9B7F80", x"A8468EE3BC18F06D", x"A2DC9E92FD3CDE92",
  62. x"CAC09F797D031287", x"90BA680B22AEB525", x"CE7A24F350E280B6",
  63. x"882BFF0AA01A0B87", x"25610288924511C2", x"C71516C29C75D170",
  64. x"5199C29A52C9F059", x"C22F0A294A71F29F", x"EE371483714C02EA",
  65. x"A81FBD448F9E522F", x"4F644C92E192DFED", x"1AFA9A66A6DF92AE",
  66. x"B3C1CC715CB879D8", x"19D032E64AB0BD8B", x"3CFAA7A7DC8720DC",
  67. x"B7265F7F447AC6F3", x"9DB73B3C0D163F54", x"8181B65BABF4A975",
  68. x"93C9B64042EAA240", x"5570530829705592", x"8638809E878787A0",
  69. x"41B9A79AF79AC208", x"7A9BE42F2009A892", x"29038D56BA6D2745",
  70. x"5495C6ABF1E5DF51", x"AE13DBD561488933", x"024D1FFA8904E389",
  71. x"D1399712F99BF02E", x"14C1D7C1CFFEC79E", x"1DE5279DAE3BED6F",
  72. x"E941A33F85501303", x"DA99DBBC9A03F379", x"B7FC92F91D8E92E9",
  73. x"AE8E5CAA3CA04E85", x"9CC62DF43B6EED74", x"D863DBB5C59A91A0",
  74. x"A1AB2190545B91D7", x"0875041E64C570F7", x"5A594528BEBEF1CC",
  75. x"FCDB3291DE21F0C0", x"869EFD7F9F265A09");
  76. constant c_permutation_operation_known_answers_keys : t_array(0 to 31) :=
  77. (x"1046913489980131", x"1007103489988020", x"10071034C8980120",
  78. x"1046103489988020", x"1086911519190101", x"1086911519580101",
  79. x"5107B01519580101", x"1007B01519190101", x"3107915498080101",
  80. x"3107919498080101", x"10079115B9080140", x"3107911598080140",
  81. x"1007D01589980101", x"9107911589980101", x"9107D01589190101",
  82. x"1007D01598980120", x"1007940498190101", x"0107910491190401",
  83. x"0107910491190101", x"0107940491190401", x"19079210981A0101",
  84. x"1007911998190801", x"10079119981A0801", x"1007921098190101",
  85. x"100791159819010B", x"1004801598190101", x"1004801598190102",
  86. x"1004801598190108", x"1002911598100104", x"1002911598190104",
  87. x"1002911598100201", x"1002911698100101");
  88. constant c_permutation_operation_known_answers_cipher : t_array(0 to 31) :=
  89. (x"88D55E54F54C97B4", x"0C0CC00C83EA48FD", x"83BC8EF3A6570183",
  90. x"DF725DCAD94EA2E9", x"E652B53B550BE8B0", x"AF527120C485CBB0",
  91. x"0F04CE393DB926D5", x"C9F00FFC74079067", x"7CFD82A593252B4E",
  92. x"CB49A2F9E91363E3", x"00B588BE70D23F56", x"406A9A6AB43399AE",
  93. x"6CB773611DCA9ADA", x"67FD21C17DBB5D70", x"9592CB4110430787",
  94. x"A6B7FF68A318DDD3", x"4D102196C914CA16", x"2DFA9F4573594965",
  95. x"B46604816C0E0774", x"6E7E6221A4F34E87", x"AA85E74643233199",
  96. x"2E5A19DB4D1962D6", x"23A866A809D30894", x"D812D961F017D320",
  97. x"055605816E58608F", x"ABD88E8B1B7716F1", x"537AC95BE69DA1E1",
  98. x"AED0F6AE3C25CDD8", x"B3E35A5EE53E7B8D", x"61C79C71921A2EF8",
  99. x"E2F5728F0995013C", x"1AEAC39A61F0A464");
  100. constant c_substitution_table_test_keys : t_array(0 to 18) :=
  101. (x"7CA110454A1A6E57", x"0131D9619DC1376E", x"07A1133E4A0B2686",
  102. x"3849674C2602319E", x"04B915BA43FEB5B6", x"0113B970FD34F2CE",
  103. x"0170F175468FB5E6", x"43297FAD38E373FE", x"07A7137045DA2A16",
  104. x"04689104C2FD3B2F", x"37D06BB516CB7546", x"1F08260D1AC2465E",
  105. x"584023641ABA6176", x"025816164629B007", x"49793EBC79B3258F",
  106. x"4FB05E1515AB73A7", x"49E95D6D4CA229BF", x"018310DC409B26D6",
  107. x"1C587F1C13924FEF");
  108. constant c_substitution_table_test_plain : t_array(0 to 18) :=
  109. (x"01A1D6D039776742", x"5CD54CA83DEF57DA", x"0248D43806F67172",
  110. x"51454B582DDF440A", x"42FD443059577FA2", x"059B5E0851CF143A",
  111. x"0756D8E0774761D2", x"762514B829BF486A", x"3BDD119049372802",
  112. x"26955F6835AF609A", x"164D5E404F275232", x"6B056E18759F5CCA",
  113. x"004BD6EF09176062", x"480D39006EE762F2", x"437540C8698F3CFA",
  114. x"072D43A077075292", x"02FE55778117F12A", x"1D9D5C5018F728C2",
  115. x"305532286D6F295A");
  116. constant c_substitution_table_test_cipher : t_array(0 to 18) :=
  117. (x"690F5B0D9A26939B", x"7A389D10354BD271", x"868EBB51CAB4599A",
  118. x"7178876E01F19B2A", x"AF37FB421F8C4095", x"86A560F10EC6D85B",
  119. x"0CD3DA020021DC09", x"EA676B2CB7DB2B7A", x"DFD64A815CAF1A0F",
  120. x"5C513C9C4886C088", x"0A2AEEAE3FF4AB77", x"EF1BF03E5DFA575A",
  121. x"88BF0DB6D70DEE56", x"A1F9915541020B56", x"6FBF1CAFCFFD0556",
  122. x"2F22E49BAB7CA1AC", x"5A6B612CC26CCE4A", x"5F4C038ED12B2E41",
  123. x"63FAC0D034D9F793");
  124. signal s_reset : std_logic := '0';
  125. signal s_clk : std_logic := '0';
  126. signal s_mode : std_logic := '0';
  127. signal s_key : std_logic_vector(0 to 63) := (others => '0');
  128. signal s_datain : std_logic_vector(0 to 63) := (others => '0');
  129. signal s_validin : std_logic := '0';
  130. signal s_dataout : std_logic_vector(0 to 63);
  131. signal s_validout : std_logic;
  132. component des is
  133. port (
  134. reset_i : in std_logic;
  135. clk_i : in std_logic;
  136. mode_i : in std_logic;
  137. key_i : in std_logic_vector(0 TO 63);
  138. data_i : in std_logic_vector(0 TO 63);
  139. valid_i : in std_logic;
  140. data_o : out std_logic_vector(0 TO 63);
  141. valid_o : out std_logic
  142. );
  143. end component des;
  144. begin
  145. s_clk <= not(s_clk) after 10 ns;
  146. s_reset <= '1' after 100 ns;
  147. teststimuliP : process is
  148. begin
  149. -- ENCRYPTION TESTS
  150. s_mode <= '0';
  151. s_validin <= '0';
  152. s_key <= x"0101010101010101";
  153. s_datain <= x"8000000000000000";
  154. wait until s_reset = '1';
  155. -- Variable plaintext known answer test
  156. for index in c_variable_plaintext_known_answers'range loop
  157. wait until rising_edge(s_clk);
  158. s_validin <= '1';
  159. if(index /= 0) then
  160. s_datain <= '0' & s_datain(0 to 62);
  161. end if;
  162. end loop;
  163. wait until rising_edge(s_clk);
  164. s_mode <= '0';
  165. s_validin <= '0';
  166. s_key <= (others => '0');
  167. s_datain <= (others => '0');
  168. wait for 100 ns;
  169. -- Inverse permutation known answer test
  170. s_key <= x"0101010101010101";
  171. for index in c_variable_plaintext_known_answers'range loop
  172. wait until rising_edge(s_clk);
  173. s_validin <= '1';
  174. s_datain <= c_variable_plaintext_known_answers(index);
  175. end loop;
  176. wait until rising_edge(s_clk);
  177. s_mode <= '0';
  178. s_validin <= '0';
  179. s_key <= (others => '0');
  180. s_datain <= (others => '0');
  181. wait for 100 ns;
  182. -- Variable key known answer test
  183. s_key <= x"8000000000000000";
  184. for index in c_variable_key_known_answers'range loop
  185. wait until rising_edge(s_clk);
  186. s_validin <= '1';
  187. if(index /= 0) then
  188. if(index = 7 or index = 14 or index = 21 or index = 28 or index = 35 or
  189. index = 42 or index = 49) then
  190. s_key <= "00" & s_key(0 to 61);
  191. else
  192. s_key <= '0' & s_key(0 to 62);
  193. end if;
  194. end if;
  195. end loop;
  196. wait until rising_edge(s_clk);
  197. s_mode <= '0';
  198. s_validin <= '0';
  199. s_key <= (others => '0');
  200. s_datain <= (others => '0');
  201. wait for 100 ns;
  202. -- Permutation operation known answer test
  203. s_datain <= x"0000000000000000";
  204. for index in c_permutation_operation_known_answers_keys'range loop
  205. wait until rising_edge(s_clk);
  206. s_validin <= '1';
  207. s_key <= c_permutation_operation_known_answers_keys(index);
  208. end loop;
  209. wait until rising_edge(s_clk);
  210. s_mode <= '0';
  211. s_validin <= '0';
  212. s_key <= (others => '0');
  213. s_datain <= (others => '0');
  214. wait for 100 ns;
  215. -- Substitution table known answer test
  216. for index in c_substitution_table_test_keys'range loop
  217. wait until rising_edge(s_clk);
  218. s_validin <= '1';
  219. s_key <= c_substitution_table_test_keys(index);
  220. s_datain <= c_substitution_table_test_plain(index);
  221. end loop;
  222. wait until rising_edge(s_clk);
  223. -- DECRYPTION TESTS
  224. s_mode <= '0';
  225. s_validin <= '0';
  226. s_key <= (others => '0');
  227. s_datain <= (others => '0');
  228. wait for 100 ns;
  229. -- Variable ciphertext known answer test
  230. s_key <= x"0101010101010101";
  231. for index in c_variable_plaintext_known_answers'range loop
  232. wait until rising_edge(s_clk);
  233. s_mode <= '1';
  234. s_validin <= '1';
  235. s_datain <= c_variable_plaintext_known_answers(index);
  236. end loop;
  237. wait until rising_edge(s_clk);
  238. s_mode <= '0';
  239. s_validin <= '0';
  240. s_key <= (others => '0');
  241. s_datain <= (others => '0');
  242. wait for 100 ns;
  243. -- Initial permutation known answer test
  244. s_key <= x"0101010101010101";
  245. s_datain <= x"8000000000000000";
  246. for index in c_variable_plaintext_known_answers'range loop
  247. wait until rising_edge(s_clk);
  248. s_mode <= '1';
  249. s_validin <= '1';
  250. if(index /= 0) then
  251. s_datain <= '0' & s_datain(0 to 62);
  252. end if;
  253. end loop;
  254. wait until rising_edge(s_clk);
  255. s_mode <= '0';
  256. s_validin <= '0';
  257. s_key <= (others => '0');
  258. s_datain <= (others => '0');
  259. -- Variable key known answer test
  260. s_key <= x"8000000000000000";
  261. for index in c_variable_key_known_answers'range loop
  262. wait until rising_edge(s_clk);
  263. s_mode <= '1';
  264. s_validin <= '1';
  265. s_datain <= c_variable_key_known_answers(index);
  266. if(index /= 0) then
  267. if(index = 7 or index = 14 or index = 21 or index = 28 or index = 35 or
  268. index = 42 or index = 49) then
  269. s_key <= "00" & s_key(0 to 61);
  270. else
  271. s_key <= '0' & s_key(0 to 62);
  272. end if;
  273. end if;
  274. end loop;
  275. wait until rising_edge(s_clk);
  276. s_mode <= '0';
  277. s_validin <= '0';
  278. s_key <= (others => '0');
  279. s_datain <= (others => '0');
  280. wait for 100 ns;
  281. -- Permutation operation known answer test
  282. for index in c_permutation_operation_known_answers_keys'range loop
  283. wait until rising_edge(s_clk);
  284. s_mode <= '1';
  285. s_validin <= '1';
  286. s_datain <= c_permutation_operation_known_answers_cipher(index);
  287. s_key <= c_permutation_operation_known_answers_keys(index);
  288. end loop;
  289. wait until rising_edge(s_clk);
  290. s_mode <= '0';
  291. s_validin <= '0';
  292. s_key <= (others => '0');
  293. s_datain <= (others => '0');
  294. wait for 100 ns;
  295. -- Substitution table known answer test
  296. for index in c_substitution_table_test_keys'range loop
  297. wait until rising_edge(s_clk);
  298. s_mode <= '1';
  299. s_validin <= '1';
  300. s_key <= c_substitution_table_test_keys(index);
  301. s_datain <= c_substitution_table_test_cipher(index);
  302. end loop;
  303. wait until rising_edge(s_clk);
  304. s_mode <= '0';
  305. s_validin <= '0';
  306. s_key <= (others => '0');
  307. s_datain <= (others => '0');
  308. wait;
  309. end process teststimuliP;
  310. testcheckerP : process is
  311. variable v_plaintext : std_logic_vector(0 to 63) := x"8000000000000000";
  312. begin
  313. report "# ENCRYPTION TESTS";
  314. report "# Variable plaintext known answer test";
  315. for index in c_variable_plaintext_known_answers'range loop
  316. wait until rising_edge(s_clk) and s_validout = '1';
  317. assert (s_dataout = c_variable_plaintext_known_answers(index))
  318. report "encryption error"
  319. severity error;
  320. end loop;
  321. report "# Inverse permutation known answer test";
  322. for index in c_variable_plaintext_known_answers'range loop
  323. wait until rising_edge(s_clk) and s_validout = '1';
  324. assert (s_dataout = v_plaintext)
  325. report "encryption error"
  326. severity error;
  327. v_plaintext := '0' & v_plaintext(0 to 62);
  328. end loop;
  329. report "# Variable key known answer test";
  330. for index in c_variable_key_known_answers'range loop
  331. wait until rising_edge(s_clk) and s_validout = '1';
  332. assert (s_dataout = c_variable_key_known_answers(index))
  333. report "encryption error"
  334. severity error;
  335. end loop;
  336. report "# Permutation operation known answer test";
  337. for index in c_permutation_operation_known_answers_cipher'range loop
  338. wait until rising_edge(s_clk) and s_validout = '1';
  339. assert (s_dataout = c_permutation_operation_known_answers_cipher(index))
  340. report "encryption error"
  341. severity error;
  342. end loop;
  343. report "# Substitution table known answer test";
  344. for index in c_substitution_table_test_cipher'range loop
  345. wait until rising_edge(s_clk) and s_validout = '1';
  346. assert (s_dataout = c_substitution_table_test_cipher(index))
  347. report "encryption error"
  348. severity error;
  349. end loop;
  350. report "# DECRYPTION TESTS";
  351. report "# Variable ciphertext known answer test";
  352. v_plaintext := x"8000000000000000";
  353. for index in c_variable_plaintext_known_answers'range loop
  354. wait until rising_edge(s_clk) and s_validout = '1';
  355. assert (s_dataout = v_plaintext)
  356. report "decryption error"
  357. severity error;
  358. v_plaintext := '0' & v_plaintext(0 to 62);
  359. end loop;
  360. report "# Initial permutation known answer test";
  361. for index in c_variable_plaintext_known_answers'range loop
  362. wait until rising_edge(s_clk) and s_validout = '1';
  363. assert (s_dataout = c_variable_plaintext_known_answers(index))
  364. report "decryption error"
  365. severity error;
  366. end loop;
  367. report "# Variable key known answer test";
  368. for index in c_variable_key_known_answers'range loop
  369. wait until rising_edge(s_clk) and s_validout = '1';
  370. assert (s_dataout = x"0000000000000000")
  371. report "decryption error"
  372. severity error;
  373. end loop;
  374. report "# Permutation operation known answer test";
  375. for index in c_permutation_operation_known_answers_keys'range loop
  376. wait until rising_edge(s_clk) and s_validout = '1';
  377. assert (s_dataout = x"0000000000000000")
  378. report "decryption error"
  379. severity error;
  380. end loop;
  381. report "# Substitution table known answer test";
  382. for index in c_substitution_table_test_cipher'range loop
  383. wait until rising_edge(s_clk) and s_validout = '1';
  384. assert (s_dataout = c_substitution_table_test_plain(index))
  385. report "decryption error"
  386. severity error;
  387. end loop;
  388. report "# Successfully passed all tests";
  389. wait;
  390. end process testcheckerP;
  391. i_des : des
  392. port map (
  393. reset_i => s_reset,
  394. clk_i => s_clk,
  395. mode_i => s_mode,
  396. key_i => s_key,
  397. data_i => s_datain,
  398. valid_i => s_validin,
  399. data_o => s_dataout,
  400. valid_o => s_validout
  401. );
  402. end architecture rtl;