cryptography ip-cores in vhdl / verilog
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

442 lines
18 KiB

  1. -- ======================================================================
  2. -- DES encryption/decryption testbench
  3. -- tests according to NIST 800-17 special publication
  4. -- Copyright (C) 2011 Torsten Meissner
  5. -------------------------------------------------------------------------
  6. -- This program is free software; you can redistribute it and/or modify
  7. -- it under the terms of the GNU General Public License as published by
  8. -- the Free Software Foundation; either version 2 of the License, or
  9. -- (at your option) any later version.
  10. -- This program is distributed in the hope that it will be useful,
  11. -- but WITHOUT ANY WARRANTY; without even the implied warranty of
  12. -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
  13. -- GNU General Public License for more details.
  14. -- You should have received a copy of the GNU General Public License
  15. -- along with this program; if not, write to the Free Software
  16. -- Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
  17. -- ======================================================================
  18. library ieee;
  19. use ieee.std_logic_1164.all;
  20. use ieee.numeric_std.all;
  21. entity tb_des is
  22. end entity tb_des;
  23. architecture rtl of tb_des is
  24. type t_array is array (natural range <>) of std_logic_vector(0 to 63);
  25. constant c_variable_plaintext_known_answers : t_array(0 to 63) :=
  26. (x"95F8A5E5DD31D900", x"DD7F121CA5015619", x"2E8653104F3834EA",
  27. x"4BD388FF6CD81D4F", x"20B9E767B2FB1456", x"55579380D77138EF",
  28. x"6CC5DEFAAF04512F", x"0D9F279BA5D87260", x"D9031B0271BD5A0A",
  29. x"424250B37C3DD951", x"B8061B7ECD9A21E5", x"F15D0F286B65BD28",
  30. x"ADD0CC8D6E5DEBA1", x"E6D5F82752AD63D1", x"ECBFE3BD3F591A5E",
  31. x"F356834379D165CD", x"2B9F982F20037FA9", x"889DE068A16F0BE6",
  32. x"E19E275D846A1298", x"329A8ED523D71AEC", x"E7FCE22557D23C97",
  33. x"12A9F5817FF2D65D", x"A484C3AD38DC9C19", x"FBE00A8A1EF8AD72",
  34. x"750D079407521363", x"64FEED9C724C2FAF", x"F02B263B328E2B60",
  35. x"9D64555A9A10B852", x"D106FF0BED5255D7", x"E1652C6B138C64A5",
  36. x"E428581186EC8F46", x"AEB5F5EDE22D1A36", x"E943D7568AEC0C5C",
  37. x"DF98C8276F54B04B", x"B160E4680F6C696F", x"FA0752B07D9C4AB8",
  38. x"CA3A2B036DBC8502", x"5E0905517BB59BCF", x"814EEB3B91D90726",
  39. x"4D49DB1532919C9F", x"25EB5FC3F8CF0621", x"AB6A20C0620D1C6F",
  40. x"79E90DBC98F92CCA", x"866ECEDD8072BB0E", x"8B54536F2F3E64A8",
  41. x"EA51D3975595B86B", x"CAFFC6AC4542DE31", x"8DD45A2DDF90796C",
  42. x"1029D55E880EC2D0", x"5D86CB23639DBEA9", x"1D1CA853AE7C0C5F",
  43. x"CE332329248F3228", x"8405D1ABE24FB942", x"E643D78090CA4207",
  44. x"48221B9937748A23", x"DD7C0BBD61FAFD54", x"2FBC291A570DB5C4",
  45. x"E07C30D7E4E26E12", x"0953E2258E8E90A1", x"5B711BC4CEEBF2EE",
  46. x"CC083F1E6D9E85F6", x"D2FD8867D50D2DFE", x"06E7EA22CE92708F",
  47. x"166B40B44ABA4BD6");
  48. constant c_variable_key_known_answers : t_array(0 to 55) :=
  49. (x"95A8D72813DAA94D", x"0EEC1487DD8C26D5", x"7AD16FFB79C45926",
  50. x"D3746294CA6A6CF3", x"809F5F873C1FD761", x"C02FAFFEC989D1FC",
  51. x"4615AA1D33E72F10", x"2055123350C00858", x"DF3B99D6577397C8",
  52. x"31FE17369B5288C9", x"DFDD3CC64DAE1642", x"178C83CE2B399D94",
  53. x"50F636324A9B7F80", x"A8468EE3BC18F06D", x"A2DC9E92FD3CDE92",
  54. x"CAC09F797D031287", x"90BA680B22AEB525", x"CE7A24F350E280B6",
  55. x"882BFF0AA01A0B87", x"25610288924511C2", x"C71516C29C75D170",
  56. x"5199C29A52C9F059", x"C22F0A294A71F29F", x"EE371483714C02EA",
  57. x"A81FBD448F9E522F", x"4F644C92E192DFED", x"1AFA9A66A6DF92AE",
  58. x"B3C1CC715CB879D8", x"19D032E64AB0BD8B", x"3CFAA7A7DC8720DC",
  59. x"B7265F7F447AC6F3", x"9DB73B3C0D163F54", x"8181B65BABF4A975",
  60. x"93C9B64042EAA240", x"5570530829705592", x"8638809E878787A0",
  61. x"41B9A79AF79AC208", x"7A9BE42F2009A892", x"29038D56BA6D2745",
  62. x"5495C6ABF1E5DF51", x"AE13DBD561488933", x"024D1FFA8904E389",
  63. x"D1399712F99BF02E", x"14C1D7C1CFFEC79E", x"1DE5279DAE3BED6F",
  64. x"E941A33F85501303", x"DA99DBBC9A03F379", x"B7FC92F91D8E92E9",
  65. x"AE8E5CAA3CA04E85", x"9CC62DF43B6EED74", x"D863DBB5C59A91A0",
  66. x"A1AB2190545B91D7", x"0875041E64C570F7", x"5A594528BEBEF1CC",
  67. x"FCDB3291DE21F0C0", x"869EFD7F9F265A09");
  68. constant c_permutation_operation_known_answers_keys : t_array(0 to 31) :=
  69. (x"1046913489980131", x"1007103489988020", x"10071034C8980120",
  70. x"1046103489988020", x"1086911519190101", x"1086911519580101",
  71. x"5107B01519580101", x"1007B01519190101", x"3107915498080101",
  72. x"3107919498080101", x"10079115B9080140", x"3107911598080140",
  73. x"1007D01589980101", x"9107911589980101", x"9107D01589190101",
  74. x"1007D01598980120", x"1007940498190101", x"0107910491190401",
  75. x"0107910491190101", x"0107940491190401", x"19079210981A0101",
  76. x"1007911998190801", x"10079119981A0801", x"1007921098190101",
  77. x"100791159819010B", x"1004801598190101", x"1004801598190102",
  78. x"1004801598190108", x"1002911598100104", x"1002911598190104",
  79. x"1002911598100201", x"1002911698100101");
  80. constant c_permutation_operation_known_answers_cipher : t_array(0 to 31) :=
  81. (x"88D55E54F54C97B4", x"0C0CC00C83EA48FD", x"83BC8EF3A6570183",
  82. x"DF725DCAD94EA2E9", x"E652B53B550BE8B0", x"AF527120C485CBB0",
  83. x"0F04CE393DB926D5", x"C9F00FFC74079067", x"7CFD82A593252B4E",
  84. x"CB49A2F9E91363E3", x"00B588BE70D23F56", x"406A9A6AB43399AE",
  85. x"6CB773611DCA9ADA", x"67FD21C17DBB5D70", x"9592CB4110430787",
  86. x"A6B7FF68A318DDD3", x"4D102196C914CA16", x"2DFA9F4573594965",
  87. x"B46604816C0E0774", x"6E7E6221A4F34E87", x"AA85E74643233199",
  88. x"2E5A19DB4D1962D6", x"23A866A809D30894", x"D812D961F017D320",
  89. x"055605816E58608F", x"ABD88E8B1B7716F1", x"537AC95BE69DA1E1",
  90. x"AED0F6AE3C25CDD8", x"B3E35A5EE53E7B8D", x"61C79C71921A2EF8",
  91. x"E2F5728F0995013C", x"1AEAC39A61F0A464");
  92. constant c_substitution_table_test_keys : t_array(0 to 18) :=
  93. (x"7CA110454A1A6E57", x"0131D9619DC1376E", x"07A1133E4A0B2686",
  94. x"3849674C2602319E", x"04B915BA43FEB5B6", x"0113B970FD34F2CE",
  95. x"0170F175468FB5E6", x"43297FAD38E373FE", x"07A7137045DA2A16",
  96. x"04689104C2FD3B2F", x"37D06BB516CB7546", x"1F08260D1AC2465E",
  97. x"584023641ABA6176", x"025816164629B007", x"49793EBC79B3258F",
  98. x"4FB05E1515AB73A7", x"49E95D6D4CA229BF", x"018310DC409B26D6",
  99. x"1C587F1C13924FEF");
  100. constant c_substitution_table_test_plain : t_array(0 to 18) :=
  101. (x"01A1D6D039776742", x"5CD54CA83DEF57DA", x"0248D43806F67172",
  102. x"51454B582DDF440A", x"42FD443059577FA2", x"059B5E0851CF143A",
  103. x"0756D8E0774761D2", x"762514B829BF486A", x"3BDD119049372802",
  104. x"26955F6835AF609A", x"164D5E404F275232", x"6B056E18759F5CCA",
  105. x"004BD6EF09176062", x"480D39006EE762F2", x"437540C8698F3CFA",
  106. x"072D43A077075292", x"02FE55778117F12A", x"1D9D5C5018F728C2",
  107. x"305532286D6F295A");
  108. constant c_substitution_table_test_cipher : t_array(0 to 18) :=
  109. (x"690F5B0D9A26939B", x"7A389D10354BD271", x"868EBB51CAB4599A",
  110. x"7178876E01F19B2A", x"AF37FB421F8C4095", x"86A560F10EC6D85B",
  111. x"0CD3DA020021DC09", x"EA676B2CB7DB2B7A", x"DFD64A815CAF1A0F",
  112. x"5C513C9C4886C088", x"0A2AEEAE3FF4AB77", x"EF1BF03E5DFA575A",
  113. x"88BF0DB6D70DEE56", x"A1F9915541020B56", x"6FBF1CAFCFFD0556",
  114. x"2F22E49BAB7CA1AC", x"5A6B612CC26CCE4A", x"5F4C038ED12B2E41",
  115. x"63FAC0D034D9F793");
  116. signal s_reset : std_logic := '0';
  117. signal s_clk : std_logic := '0';
  118. signal s_mode : std_logic := '0';
  119. signal s_key : std_logic_vector(0 to 63) := (others => '0');
  120. signal s_datain : std_logic_vector(0 to 63) := (others => '0');
  121. signal s_validin : std_logic := '0';
  122. signal s_acceptout : std_logic;
  123. signal s_dataout : std_logic_vector(0 to 63);
  124. signal s_validout : std_logic;
  125. signal s_acceptin : std_logic;
  126. component des is
  127. generic (
  128. design_type : string := "ITER"
  129. );
  130. port (
  131. reset_i : in std_logic;
  132. clk_i : in std_logic;
  133. mode_i : in std_logic;
  134. key_i : in std_logic_vector(0 TO 63);
  135. data_i : in std_logic_vector(0 TO 63);
  136. valid_i : in std_logic;
  137. accept_o : out std_logic;
  138. data_o : out std_logic_vector(0 TO 63);
  139. valid_o : out std_logic;
  140. accept_i : in std_logic
  141. );
  142. end component des;
  143. begin
  144. s_clk <= not(s_clk) after 10 ns;
  145. s_reset <= '1' after 100 ns;
  146. teststimuliP : process is
  147. begin
  148. -- ENCRYPTION TESTS
  149. s_mode <= '0';
  150. s_validin <= '0';
  151. s_key <= x"0101010101010101";
  152. s_datain <= x"8000000000000000";
  153. wait until s_reset = '1';
  154. wait until rising_edge(s_clk);
  155. -- Variable plaintext known answer test
  156. for index in c_variable_plaintext_known_answers'range loop
  157. s_validin <= '1';
  158. if(index /= 0) then
  159. s_datain <= '0' & s_datain(0 to 62);
  160. end if;
  161. wait until rising_edge(s_clk) and s_acceptout = '1';
  162. end loop;
  163. s_mode <= '0';
  164. s_validin <= '0';
  165. s_key <= (others => '0');
  166. s_datain <= (others => '0');
  167. wait for 100 ns;
  168. wait until rising_edge(s_clk);
  169. -- Inverse permutation known answer test
  170. s_key <= x"0101010101010101";
  171. for index in c_variable_plaintext_known_answers'range loop
  172. s_validin <= '1';
  173. s_datain <= c_variable_plaintext_known_answers(index);
  174. wait until rising_edge(s_clk) and s_acceptout = '1';
  175. end loop;
  176. s_mode <= '0';
  177. s_validin <= '0';
  178. s_key <= (others => '0');
  179. s_datain <= (others => '0');
  180. wait for 100 ns;
  181. wait until rising_edge(s_clk);
  182. -- Variable key known answer test
  183. s_key <= x"8000000000000000";
  184. for index in c_variable_key_known_answers'range loop
  185. s_validin <= '1';
  186. if(index /= 0) then
  187. if(index = 7 or index = 14 or index = 21 or index = 28 or index = 35 or
  188. index = 42 or index = 49) then
  189. s_key <= "00" & s_key(0 to 61);
  190. else
  191. s_key <= '0' & s_key(0 to 62);
  192. end if;
  193. end if;
  194. wait until rising_edge(s_clk) and s_acceptout = '1';
  195. end loop;
  196. s_mode <= '0';
  197. s_validin <= '0';
  198. s_key <= (others => '0');
  199. s_datain <= (others => '0');
  200. wait for 100 ns;
  201. wait until rising_edge(s_clk);
  202. -- Permutation operation known answer test
  203. s_datain <= x"0000000000000000";
  204. for index in c_permutation_operation_known_answers_keys'range loop
  205. s_validin <= '1';
  206. s_key <= c_permutation_operation_known_answers_keys(index);
  207. wait until rising_edge(s_clk) and s_acceptout = '1';
  208. end loop;
  209. s_mode <= '0';
  210. s_validin <= '0';
  211. s_key <= (others => '0');
  212. s_datain <= (others => '0');
  213. wait for 100 ns;
  214. wait until rising_edge(s_clk);
  215. -- Substitution table known answer test
  216. for index in c_substitution_table_test_keys'range loop
  217. s_validin <= '1';
  218. s_key <= c_substitution_table_test_keys(index);
  219. s_datain <= c_substitution_table_test_plain(index);
  220. wait until rising_edge(s_clk) and s_acceptout = '1';
  221. end loop;
  222. -- DECRYPTION TESTS
  223. s_mode <= '0';
  224. s_validin <= '0';
  225. s_key <= (others => '0');
  226. s_datain <= (others => '0');
  227. wait for 100 ns;
  228. wait until rising_edge(s_clk);
  229. -- Variable ciphertext known answer test
  230. s_key <= x"0101010101010101";
  231. for index in c_variable_plaintext_known_answers'range loop
  232. s_mode <= '1';
  233. s_validin <= '1';
  234. s_datain <= c_variable_plaintext_known_answers(index);
  235. wait until rising_edge(s_clk) and s_acceptout = '1';
  236. end loop;
  237. s_mode <= '0';
  238. s_validin <= '0';
  239. s_key <= (others => '0');
  240. s_datain <= (others => '0');
  241. wait for 100 ns;
  242. wait until rising_edge(s_clk);
  243. -- Initial permutation known answer test
  244. s_key <= x"0101010101010101";
  245. s_datain <= x"8000000000000000";
  246. for index in c_variable_plaintext_known_answers'range loop
  247. s_mode <= '1';
  248. s_validin <= '1';
  249. if(index /= 0) then
  250. s_datain <= '0' & s_datain(0 to 62);
  251. end if;
  252. wait until rising_edge(s_clk) and s_acceptout = '1';
  253. end loop;
  254. s_mode <= '0';
  255. s_validin <= '0';
  256. s_key <= (others => '0');
  257. s_datain <= (others => '0');
  258. wait for 100 ns;
  259. wait until rising_edge(s_clk);
  260. -- Variable key known answer test
  261. s_key <= x"8000000000000000";
  262. for index in c_variable_key_known_answers'range loop
  263. s_mode <= '1';
  264. s_validin <= '1';
  265. s_datain <= c_variable_key_known_answers(index);
  266. if(index /= 0) then
  267. if(index = 7 or index = 14 or index = 21 or index = 28 or index = 35 or
  268. index = 42 or index = 49) then
  269. s_key <= "00" & s_key(0 to 61);
  270. else
  271. s_key <= '0' & s_key(0 to 62);
  272. end if;
  273. end if;
  274. wait until rising_edge(s_clk) and s_acceptout = '1';
  275. end loop;
  276. s_mode <= '0';
  277. s_validin <= '0';
  278. s_key <= (others => '0');
  279. s_datain <= (others => '0');
  280. wait for 100 ns;
  281. wait until rising_edge(s_clk);
  282. -- Permutation operation known answer test
  283. for index in c_permutation_operation_known_answers_keys'range loop
  284. s_mode <= '1';
  285. s_validin <= '1';
  286. s_datain <= c_permutation_operation_known_answers_cipher(index);
  287. s_key <= c_permutation_operation_known_answers_keys(index);
  288. wait until rising_edge(s_clk) and s_acceptout = '1';
  289. end loop;
  290. s_mode <= '0';
  291. s_validin <= '0';
  292. s_key <= (others => '0');
  293. s_datain <= (others => '0');
  294. wait for 100 ns;
  295. wait until rising_edge(s_clk);
  296. -- Substitution table known answer test
  297. for index in c_substitution_table_test_keys'range loop
  298. s_mode <= '1';
  299. s_validin <= '1';
  300. s_key <= c_substitution_table_test_keys(index);
  301. s_datain <= c_substitution_table_test_cipher(index);
  302. wait until rising_edge(s_clk) and s_acceptout = '1';
  303. end loop;
  304. s_mode <= '0';
  305. s_validin <= '0';
  306. s_key <= (others => '0');
  307. s_datain <= (others => '0');
  308. wait;
  309. end process teststimuliP;
  310. testcheckerP : process is
  311. variable v_plaintext : std_logic_vector(0 to 63) := x"8000000000000000";
  312. begin
  313. s_acceptin <= '1';
  314. wait until s_reset = '1';
  315. report "# ENCRYPTION TESTS";
  316. report "# Variable plaintext known answer test";
  317. for index in c_variable_plaintext_known_answers'range loop
  318. wait until rising_edge(s_clk) and s_validout = '1';
  319. assert (s_dataout = c_variable_plaintext_known_answers(index))
  320. report "encryption error"
  321. severity error;
  322. end loop;
  323. report "# Inverse permutation known answer test";
  324. for index in c_variable_plaintext_known_answers'range loop
  325. wait until rising_edge(s_clk) and s_validout = '1';
  326. assert (s_dataout = v_plaintext)
  327. report "encryption error"
  328. severity error;
  329. v_plaintext := '0' & v_plaintext(0 to 62);
  330. end loop;
  331. report "# Variable key known answer test";
  332. for index in c_variable_key_known_answers'range loop
  333. wait until rising_edge(s_clk) and s_validout = '1';
  334. assert (s_dataout = c_variable_key_known_answers(index))
  335. report "encryption error"
  336. severity error;
  337. end loop;
  338. report "# Permutation operation known answer test";
  339. for index in c_permutation_operation_known_answers_cipher'range loop
  340. wait until rising_edge(s_clk) and s_validout = '1';
  341. assert (s_dataout = c_permutation_operation_known_answers_cipher(index))
  342. report "encryption error"
  343. severity error;
  344. end loop;
  345. report "# Substitution table known answer test";
  346. for index in c_substitution_table_test_cipher'range loop
  347. wait until rising_edge(s_clk) and s_validout = '1';
  348. assert (s_dataout = c_substitution_table_test_cipher(index))
  349. report "encryption error"
  350. severity error;
  351. end loop;
  352. report "# DECRYPTION TESTS";
  353. report "# Variable ciphertext known answer test";
  354. v_plaintext := x"8000000000000000";
  355. for index in c_variable_plaintext_known_answers'range loop
  356. wait until rising_edge(s_clk) and s_validout = '1';
  357. assert (s_dataout = v_plaintext)
  358. report "decryption error"
  359. severity error;
  360. v_plaintext := '0' & v_plaintext(0 to 62);
  361. end loop;
  362. report "# Initial permutation known answer test";
  363. for index in c_variable_plaintext_known_answers'range loop
  364. wait until rising_edge(s_clk) and s_validout = '1';
  365. assert (s_dataout = c_variable_plaintext_known_answers(index))
  366. report "decryption error"
  367. severity error;
  368. end loop;
  369. report "# Variable key known answer test";
  370. for index in c_variable_key_known_answers'range loop
  371. wait until rising_edge(s_clk) and s_validout = '1';
  372. assert (s_dataout = x"0000000000000000")
  373. report "decryption error"
  374. severity error;
  375. end loop;
  376. report "# Permutation operation known answer test";
  377. for index in c_permutation_operation_known_answers_keys'range loop
  378. wait until rising_edge(s_clk) and s_validout = '1';
  379. assert (s_dataout = x"0000000000000000")
  380. report "decryption error"
  381. severity error;
  382. end loop;
  383. report "# Substitution table known answer test";
  384. for index in c_substitution_table_test_cipher'range loop
  385. wait until rising_edge(s_clk) and s_validout = '1';
  386. assert (s_dataout = c_substitution_table_test_plain(index))
  387. report "decryption error"
  388. severity error;
  389. end loop;
  390. report "# Successfully passed all tests";
  391. assert false;
  392. wait;
  393. end process testcheckerP;
  394. i_des : des
  395. generic map (
  396. design_type => "ITER"
  397. )
  398. port map (
  399. reset_i => s_reset,
  400. clk_i => s_clk,
  401. mode_i => s_mode,
  402. key_i => s_key,
  403. data_i => s_datain,
  404. valid_i => s_validin,
  405. accept_o => s_acceptout,
  406. data_o => s_dataout,
  407. valid_o => s_validout,
  408. accept_i => s_acceptin
  409. );
  410. end architecture rtl;