cryptography ip-cores in vhdl / verilog
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

332 lines
16 KiB

  1. -- ======================================================================
  2. -- DES encryption/decryption
  3. -- package file with functions
  4. -- Copyright (C) 2007 Torsten Meissner
  5. -------------------------------------------------------------------------
  6. -- This program is free software; you can redistribute it and/or modify
  7. -- it under the terms of the GNU General Public License as published by
  8. -- the Free Software Foundation; either version 2 of the License, or
  9. -- (at your option) any later version.
  10. -- This program is distributed in the hope that it will be useful,
  11. -- but WITHOUT ANY WARRANTY; without even the implied warranty of
  12. -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
  13. -- GNU General Public License for more details.
  14. -- You should have received a copy of the GNU General Public License
  15. -- along with this program; if not, write to the Free Software
  16. -- Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
  17. -- ======================================================================
  18. LIBRARY ieee;
  19. USE ieee.std_logic_1164.all;
  20. USE ieee.numeric_std.ALL;
  21. PACKAGE des_pkg IS
  22. FUNCTION ip ( input_vector : std_logic_vector(0 TO 63) ) RETURN std_logic_vector;
  23. FUNCTION ipn ( input_vector : std_logic_vector(0 TO 63) ) RETURN std_logic_vector;
  24. FUNCTION e (input_vector : std_logic_vector(0 TO 31) ) RETURN std_logic_vector;
  25. FUNCTION p (input_vector : std_logic_vector(0 TO 31) ) RETURN std_logic_vector;
  26. FUNCTION s1 (input_vector : std_logic_vector(0 TO 5) ) RETURN std_logic_vector;
  27. FUNCTION s2 (input_vector : std_logic_vector(0 TO 5) ) RETURN std_logic_vector;
  28. FUNCTION s3 (input_vector : std_logic_vector(0 TO 5) ) RETURN std_logic_vector;
  29. FUNCTION s4 (input_vector : std_logic_vector(0 TO 5) ) RETURN std_logic_vector;
  30. FUNCTION s5 (input_vector : std_logic_vector(0 TO 5) ) RETURN std_logic_vector;
  31. FUNCTION s6 (input_vector : std_logic_vector(0 TO 5) ) RETURN std_logic_vector;
  32. FUNCTION s7 (input_vector : std_logic_vector(0 TO 5) ) RETURN std_logic_vector;
  33. FUNCTION s8 (input_vector : std_logic_vector(0 TO 5) ) RETURN std_logic_vector;
  34. FUNCTION f (input_r : std_logic_vector(0 TO 31); input_key : std_logic_vector(0 TO 47) ) RETURN std_logic_vector;
  35. FUNCTION pc1_c ( input_vector : std_logic_vector(0 TO 63) ) RETURN std_logic_vector;
  36. FUNCTION pc1_d ( input_vector : std_logic_vector(0 TO 63) ) RETURN std_logic_vector;
  37. FUNCTION pc2 ( input_vector : std_logic_vector(0 TO 55) ) RETURN std_logic_vector;
  38. TYPE ip_matrix IS ARRAY (0 TO 63) OF natural RANGE 0 TO 63;
  39. constant ip_table : ip_matrix := (57, 49, 41, 33, 25, 17, 9, 1,
  40. 59, 51, 43, 35, 27, 19, 11, 3,
  41. 61, 53, 45, 37, 29, 21, 13, 5,
  42. 63, 55, 47, 39, 31, 23, 15, 7,
  43. 56, 48, 40, 32, 24, 16, 8, 0,
  44. 58, 50, 42, 34, 26, 18, 10, 2,
  45. 60, 52, 44, 36, 28, 20, 12, 4,
  46. 62, 54, 46, 38, 30, 22, 14, 6);
  47. constant ipn_table : ip_matrix := (39, 7, 47, 15, 55, 23, 63, 31,
  48. 38, 6, 46, 14, 54, 22, 62, 30,
  49. 37, 5, 45, 13, 53, 21, 61, 29,
  50. 36, 4, 44, 12, 52, 20, 60, 28,
  51. 35, 3, 43, 11, 51, 19, 59, 27,
  52. 34, 2, 42, 10, 50, 18, 58, 26,
  53. 33, 1, 41, 9, 49, 17, 57, 25,
  54. 32, 0, 40, 8, 48, 16, 56, 24);
  55. TYPE e_matrix IS ARRAY (0 TO 47) OF natural RANGE 0 TO 31;
  56. constant e_table : e_matrix := (31, 0, 1, 2, 3, 4,
  57. 3, 4, 5, 6, 7, 8,
  58. 7, 8, 9, 10, 11, 12,
  59. 11, 12, 13, 14, 15, 16,
  60. 15, 16, 17, 18, 19, 20,
  61. 19, 20, 21, 22, 23, 24,
  62. 23, 24, 25, 26, 27, 28,
  63. 27, 28, 29, 30, 31, 0);
  64. TYPE s_matrix IS ARRAY (0 TO 3, 0 TO 15) OF integer RANGE 0 TO 15;
  65. constant s1_table : s_matrix := (0 => (14, 4, 13, 1, 2, 15, 11, 8, 3, 10, 6, 12, 5, 9, 0, 7),
  66. 1 => ( 0, 15, 7, 4, 14, 2, 13, 1, 10, 6, 12, 11, 9, 5, 3, 8),
  67. 2 => ( 4, 1, 14, 8, 13, 6, 2, 11, 15, 12, 9, 7, 3, 10, 5, 0),
  68. 3 => (15, 12, 8, 2, 4, 9, 1, 7, 5, 11, 3, 14, 10, 0, 6, 13));
  69. constant s2_table : s_matrix := (0 => (15, 1, 8, 14, 6, 11, 3, 4, 9, 7, 2, 13, 12, 0, 5, 10),
  70. 1 => ( 3, 13, 4, 7, 15, 2, 8, 14, 12, 0, 1, 10, 6, 9, 11, 5),
  71. 2 => ( 0, 14, 7, 11, 10, 4, 13, 1, 5, 8, 12, 6, 9, 3, 2, 15),
  72. 3 => (13, 8, 10, 1, 3, 15, 4, 2, 11, 6, 7, 12, 0, 5, 14, 9));
  73. constant s3_table : s_matrix := (0 => (10, 0, 9, 14, 6, 3, 15, 5, 1, 13, 12, 7, 11, 4, 2, 8),
  74. 1 => (13, 7, 0, 9, 3, 4, 6, 10, 2, 8, 5, 14, 12, 11, 15, 1),
  75. 2 => (13, 6, 4, 9, 8, 15, 3, 0, 11, 1, 2, 12, 5, 10, 14, 7),
  76. 3 => ( 1, 10, 13, 0, 6, 9, 8, 7, 4, 15, 14, 3, 11, 5, 2, 12));
  77. constant s4_table : s_matrix := (0 => ( 7, 13, 14, 3, 0, 6, 9, 10, 1, 2, 8, 5, 11, 12, 4, 15),
  78. 1 => (13, 8, 11, 5, 6, 15, 0, 3, 4, 7, 2, 12, 1, 10, 14, 9),
  79. 2 => (10, 6, 9, 0, 12, 11, 7, 13, 15, 1, 3, 14, 5, 2, 8, 4),
  80. 3 => ( 3, 15, 0, 6, 10, 1, 13, 8, 9, 4, 5, 11, 12, 7, 2, 14));
  81. constant s5_table : s_matrix := (0 => ( 2, 12, 4, 1, 7, 10, 11, 6, 8, 5, 3, 15, 13, 0, 14, 9),
  82. 1 => (14, 11, 2, 12, 4, 7, 13, 1, 5, 0, 15, 10, 3, 9, 8, 6),
  83. 2 => ( 4, 2, 1, 11, 10, 13, 7, 8, 15, 9, 12, 5, 6, 3, 0, 14),
  84. 3 => (11, 8, 12, 7, 1, 14, 2, 13, 6, 15, 0, 9, 10, 4, 5, 3));
  85. constant s6_table : s_matrix := (0 => (12, 1, 10, 15, 9, 2, 6, 8, 0, 13, 3, 4, 14, 7, 5, 11),
  86. 1 => (10, 15, 4, 2, 7, 12, 9, 5, 6, 1, 13, 14, 0, 11, 3, 8),
  87. 2 => ( 9, 14, 15, 5, 2, 8, 12, 3, 7, 0, 4, 10, 1, 13, 11, 6),
  88. 3 => ( 4, 3, 2, 12, 9, 5, 15, 10, 11, 14, 1, 7, 6, 0, 8, 13));
  89. constant s7_table : s_matrix := (0 => ( 4, 11, 2, 14, 15, 0, 8, 13, 3, 12, 9, 7, 5, 10, 6, 1),
  90. 1 => (13, 0, 11, 7, 4, 9, 1, 10, 14, 3, 5, 12, 2, 15, 8, 6),
  91. 2 => ( 1, 4, 11, 13, 12, 3, 7, 14, 10, 15, 6, 8, 0, 5, 9, 2),
  92. 3 => ( 6, 11, 13, 8, 1, 4, 10, 7, 9, 5, 0, 15, 14, 2, 3, 12));
  93. constant s8_table : s_matrix := (0 => (13, 2, 8, 4, 6, 15, 11, 1, 10, 9, 3, 14, 5, 0, 12, 7),
  94. 1 => ( 1, 15, 13, 8, 10, 3, 7, 4, 12, 5, 6, 11, 0, 14, 9, 2),
  95. 2 => ( 7, 11, 4, 1, 9, 12, 14, 2, 0, 6, 10, 13, 15, 3, 5, 8),
  96. 3 => ( 2, 1, 14, 7, 4, 10, 8, 13, 15, 12, 9, 0, 3, 5, 6, 11));
  97. type pc_matrix IS ARRAY (0 TO 27) OF natural RANGE 0 TO 63;
  98. constant pc1c_table : pc_matrix := (56, 48, 40, 32, 24, 16, 8,
  99. 0, 57, 49, 41, 33, 25, 17,
  100. 9, 1, 58, 50, 42, 34, 26,
  101. 18, 10, 2, 59, 51, 43, 35);
  102. constant pc1d_table : pc_matrix := (62, 54, 46, 38, 30, 22, 14,
  103. 6, 61, 53, 45, 37, 29, 21,
  104. 13, 5, 60, 52, 44, 36, 28,
  105. 20, 12, 4, 27, 19, 11, 3);
  106. type p_matrix IS ARRAY (0 TO 31) OF natural RANGE 0 TO 31;
  107. constant p_table : p_matrix := (15, 6, 19, 20,
  108. 28, 11, 27, 16,
  109. 0, 14, 22, 25,
  110. 4, 17, 30, 9,
  111. 1, 7, 23, 13,
  112. 31, 26, 2, 8,
  113. 18, 12, 29, 5,
  114. 21, 10, 3, 24);
  115. type pc2_matrix IS ARRAY (0 TO 47) OF natural RANGE 0 TO 63;
  116. constant pc2_table : pc2_matrix := (13, 16, 10, 23, 0, 4,
  117. 2, 27, 14, 5, 20, 9,
  118. 22, 18, 11, 3, 25, 7,
  119. 15, 6, 26, 19, 12, 1,
  120. 40, 51, 30, 36, 46, 54,
  121. 29, 39, 50, 44, 32, 47,
  122. 43, 48, 38, 55, 33, 52,
  123. 45, 41, 49, 35, 28, 31);
  124. END PACKAGE des_pkg;
  125. PACKAGE BODY des_pkg IS
  126. FUNCTION ip ( input_vector : std_logic_vector(0 TO 63) ) RETURN std_logic_vector IS
  127. VARIABLE result : std_logic_vector(0 TO 63);
  128. BEGIN
  129. FOR index IN 0 TO 63 LOOP
  130. result( index ) := input_vector( ip_table( index ) );
  131. END LOOP;
  132. RETURN result;
  133. END FUNCTION ip;
  134. FUNCTION ipn ( input_vector : std_logic_vector(0 TO 63) ) RETURN std_logic_vector IS
  135. VARIABLE result : std_logic_vector(0 TO 63);
  136. BEGIN
  137. FOR index IN 0 TO 63 LOOP
  138. result( index ) := input_vector( ipn_table( index ) );
  139. END LOOP;
  140. RETURN result;
  141. END FUNCTION ipn;
  142. FUNCTION e (input_vector : std_logic_vector(0 TO 31) ) RETURN std_logic_vector IS
  143. VARIABLE result : std_logic_vector(0 TO 47);
  144. BEGIN
  145. FOR index IN 0 TO 47 LOOP
  146. result( index ) := input_vector( e_table( index ) );
  147. END LOOP;
  148. RETURN result;
  149. END FUNCTION e;
  150. FUNCTION s1 ( input_vector : std_logic_vector(0 TO 5) ) RETURN std_logic_vector IS
  151. VARIABLE int : std_logic_vector(0 TO 1);
  152. VARIABLE i : integer RANGE 0 TO 3;
  153. VARIABLE j : integer RANGE 0 TO 15;
  154. VARIABLE result : std_logic_vector(0 TO 3);
  155. BEGIN
  156. int := input_vector( 0 ) & input_vector( 5 );
  157. i := to_integer( unsigned( int ) );
  158. j := to_integer( unsigned( input_vector( 1 TO 4) ) );
  159. result := std_logic_vector( to_unsigned( s1_table( i, j ), 4 ) );
  160. RETURN result;
  161. END FUNCTION s1;
  162. FUNCTION s2 ( input_vector : std_logic_vector(0 TO 5) ) RETURN std_logic_vector IS
  163. VARIABLE int : std_logic_vector(0 TO 1);
  164. VARIABLE i : integer RANGE 0 TO 3;
  165. VARIABLE j : integer RANGE 0 TO 15;
  166. VARIABLE result : std_logic_vector(0 TO 3);
  167. BEGIN
  168. int := input_vector( 0 ) & input_vector( 5 );
  169. i := to_integer( unsigned( int ) );
  170. j := to_integer( unsigned( input_vector( 1 TO 4) ) );
  171. result := std_logic_vector( to_unsigned( s2_table( i, j ), 4 ) );
  172. RETURN result;
  173. END FUNCTION s2;
  174. FUNCTION s3 ( input_vector : std_logic_vector(0 TO 5) ) RETURN std_logic_vector IS
  175. VARIABLE int : std_logic_vector(0 TO 1);
  176. VARIABLE i : integer RANGE 0 TO 3;
  177. VARIABLE j : integer RANGE 0 TO 15;
  178. VARIABLE result : std_logic_vector(0 TO 3);
  179. BEGIN
  180. int := input_vector( 0 ) & input_vector( 5 );
  181. i := to_integer( unsigned( int ) );
  182. j := to_integer( unsigned( input_vector( 1 TO 4) ) );
  183. result := std_logic_vector( to_unsigned( s3_table( i, j ), 4 ) );
  184. RETURN result;
  185. END FUNCTION s3;
  186. FUNCTION s4 ( input_vector : std_logic_vector(0 TO 5) ) RETURN std_logic_vector IS
  187. VARIABLE int : std_logic_vector(0 TO 1);
  188. VARIABLE i : integer RANGE 0 TO 3;
  189. VARIABLE j : integer RANGE 0 TO 15;
  190. VARIABLE result : std_logic_vector(0 TO 3);
  191. BEGIN
  192. int := input_vector( 0 ) & input_vector( 5 );
  193. i := to_integer( unsigned( int ) );
  194. j := to_integer( unsigned( input_vector( 1 TO 4) ) );
  195. result := std_logic_vector( to_unsigned( s4_table( i, j ), 4 ) );
  196. RETURN result;
  197. END FUNCTION s4;
  198. FUNCTION s5 ( input_vector : std_logic_vector(0 TO 5) ) RETURN std_logic_vector IS
  199. VARIABLE int : std_logic_vector(0 TO 1);
  200. VARIABLE i : integer RANGE 0 TO 3;
  201. VARIABLE j : integer RANGE 0 TO 15;
  202. VARIABLE result : std_logic_vector(0 TO 3);
  203. BEGIN
  204. int := input_vector( 0 ) & input_vector( 5 );
  205. i := to_integer( unsigned( int ) );
  206. j := to_integer( unsigned( input_vector( 1 TO 4) ) );
  207. result := std_logic_vector( to_unsigned( s5_table( i, j ), 4 ) );
  208. RETURN result;
  209. END FUNCTION s5;
  210. FUNCTION s6 ( input_vector : std_logic_vector(0 TO 5) ) RETURN std_logic_vector IS
  211. VARIABLE int : std_logic_vector(0 TO 1);
  212. VARIABLE i : integer RANGE 0 TO 3;
  213. VARIABLE j : integer RANGE 0 TO 15;
  214. VARIABLE result : std_logic_vector(0 TO 3);
  215. BEGIN
  216. int := input_vector( 0 ) & input_vector( 5 );
  217. i := to_integer( unsigned( int ) );
  218. j := to_integer( unsigned( input_vector( 1 TO 4) ) );
  219. result := std_logic_vector( to_unsigned( s6_table( i, j ), 4 ) );
  220. RETURN result;
  221. END FUNCTION s6;
  222. FUNCTION s7 ( input_vector : std_logic_vector(0 TO 5) ) RETURN std_logic_vector IS
  223. VARIABLE int : std_logic_vector(0 TO 1);
  224. VARIABLE i : integer RANGE 0 TO 3;
  225. VARIABLE j : integer RANGE 0 TO 15;
  226. VARIABLE result : std_logic_vector(0 TO 3);
  227. BEGIN
  228. int := input_vector( 0 ) & input_vector( 5 );
  229. i := to_integer( unsigned( int ) );
  230. j := to_integer( unsigned( input_vector( 1 TO 4) ) );
  231. result := std_logic_vector( to_unsigned( s7_table( i, j ), 4 ) );
  232. RETURN result;
  233. END FUNCTION s7;
  234. FUNCTION s8 ( input_vector : std_logic_vector(0 TO 5) ) RETURN std_logic_vector IS
  235. VARIABLE int : std_logic_vector(0 TO 1);
  236. VARIABLE i : integer RANGE 0 TO 3;
  237. VARIABLE j : integer RANGE 0 TO 15;
  238. VARIABLE result : std_logic_vector(0 TO 3);
  239. BEGIN
  240. int := input_vector( 0 ) & input_vector( 5 );
  241. i := to_integer( unsigned( int ) );
  242. j := to_integer( unsigned( input_vector( 1 TO 4) ) );
  243. result := std_logic_vector( to_unsigned( s8_table( i, j ), 4 ) );
  244. RETURN result;
  245. END FUNCTION s8;
  246. FUNCTION p (input_vector : std_logic_vector(0 TO 31) ) RETURN std_logic_vector IS
  247. VARIABLE result : std_logic_vector(0 TO 31);
  248. BEGIN
  249. FOR index IN 0 TO 31 LOOP
  250. result( index ) := input_vector( p_table( index ) );
  251. END LOOP;
  252. RETURN result;
  253. END FUNCTION p;
  254. FUNCTION f (input_r : std_logic_vector(0 TO 31); input_key : std_logic_vector(0 TO 47) ) RETURN std_logic_vector IS
  255. VARIABLE intern : std_logic_vector(0 TO 47);
  256. VARIABLE result : std_logic_vector(0 TO 31);
  257. BEGIN
  258. intern := e( input_r ) xor input_key;
  259. result := p( s1( intern(0 TO 5) ) & s2( intern(6 TO 11) ) & s3( intern(12 TO 17) ) & s4( intern(18 TO 23) ) &
  260. s5( intern(24 TO 29) ) & s6( intern(30 TO 35) ) & s7( intern(36 TO 41) ) & s8( intern(42 TO 47) ) );
  261. RETURN result;
  262. END FUNCTION f;
  263. FUNCTION pc1_c ( input_vector : std_logic_vector(0 TO 63) ) RETURN std_logic_vector IS
  264. VARIABLE result : std_logic_vector(0 TO 27);
  265. BEGIN
  266. FOR index IN 0 TO 27 LOOP
  267. result( index ) := input_vector( pc1c_table( index ) );
  268. END LOOP;
  269. RETURN result;
  270. END FUNCTION pc1_c;
  271. FUNCTION pc1_d ( input_vector : std_logic_vector(0 TO 63) ) RETURN std_logic_vector IS
  272. VARIABLE result : std_logic_vector(0 TO 27);
  273. BEGIN
  274. FOR index IN 0 TO 27 LOOP
  275. result( index ) := input_vector( pc1d_table( index ) );
  276. END LOOP;
  277. RETURN result;
  278. END FUNCTION pc1_d;
  279. FUNCTION pc2 ( input_vector : std_logic_vector(0 TO 55) ) RETURN std_logic_vector IS
  280. VARIABLE result : std_logic_vector(0 TO 47);
  281. BEGIN
  282. FOR index IN 0 TO 47 LOOP
  283. result( index ) := input_vector( pc2_table( index ) );
  284. END LOOP;
  285. RETURN result;
  286. END FUNCTION pc2;
  287. END PACKAGE BODY des_pkg;