cryptography ip-cores in vhdl / verilog
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

155 lines
4.7 KiB

  1. -- ======================================================================
  2. -- TDES encryption/decryption
  3. -- algorithm according to FIPS 46-3 specification
  4. -- Copyright (C) 2011 Torsten Meissner
  5. -------------------------------------------------------------------------
  6. -- This program is free software; you can redistribute it and/or modify
  7. -- it under the terms of the GNU General Public License as published by
  8. -- the Free Software Foundation; either version 2 of the License, or
  9. -- (at your option) any later version.
  10. -- This program is distributed in the hope that it will be useful,
  11. -- but WITHOUT ANY WARRANTY; without even the implied warranty of
  12. -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
  13. -- GNU General Public License for more details.
  14. -- You should have received a copy of the GNU General Public License
  15. -- along with this program; if not, write to the Free Software
  16. -- Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
  17. -- ======================================================================
  18. -- Revision 0.1 2011/10/08
  19. -- Initial release
  20. library ieee;
  21. use ieee.std_logic_1164.all;
  22. use ieee.numeric_std.all;
  23. use work.des_pkg.all;
  24. entity tdes is
  25. port (
  26. reset_i : in std_logic; -- async reset
  27. clk_i : in std_logic; -- clock
  28. mode_i : in std_logic; -- tdes-modus: 0 = encrypt, 1 = decrypt
  29. key1_i : in std_logic_vector(0 TO 63); -- key input
  30. key2_i : in std_logic_vector(0 TO 63); -- key input
  31. key3_i : in std_logic_vector(0 TO 63); -- key input
  32. data_i : in std_logic_vector(0 TO 63); -- data input
  33. valid_i : in std_logic; -- input key/data valid flag
  34. data_o : out std_logic_vector(0 TO 63); -- data output
  35. valid_o : out std_logic; -- output data valid flag
  36. ready_o : out std_logic
  37. );
  38. end entity tdes;
  39. architecture rtl of tdes is
  40. component des is
  41. port (
  42. clk_i : IN std_logic; -- clock
  43. mode_i : IN std_logic; -- des-modus: 0 = encrypt, 1 = decrypt
  44. key_i : IN std_logic_vector(0 TO 63); -- key input
  45. data_i : IN std_logic_vector(0 TO 63); -- data input
  46. valid_i : IN std_logic; -- input key/data valid flag
  47. data_o : OUT std_logic_vector(0 TO 63); -- data output
  48. valid_o : OUT std_logic -- output data valid flag
  49. );
  50. end component des;
  51. signal s_ready : std_logic;
  52. signal s_reset : std_logic;
  53. signal s_mode : std_logic;
  54. signal s_des2_mode : std_logic;
  55. signal s_des1_validin : std_logic;
  56. signal s_des1_validout : std_logic;
  57. signal s_des2_validout : std_logic;
  58. signal s_des3_validout : std_logic;
  59. signal s_des2_key : std_logic_vector(0 to 63);
  60. signal s_des3_key : std_logic_vector(0 to 63);
  61. signal s_des1_dataout : std_logic_vector(0 to 63);
  62. signal s_des2_dataout : std_logic_vector(0 to 63);
  63. begin
  64. ready_o <= s_ready;
  65. valid_o <= s_des3_validout;
  66. s_des2_mode <= not(s_mode);
  67. s_des1_validin <= valid_i and s_ready;
  68. inputregister : process(clk_i, reset_i) is
  69. begin
  70. if(reset_i = '0') then
  71. s_reset <= '0';
  72. s_mode <= '0';
  73. s_des2_key <= (others => '0');
  74. s_des3_key <= (others => '0');
  75. elsif(rising_edge(clk_i)) then
  76. s_reset <= reset_i;
  77. if(valid_i = '1' and s_ready = '1') then
  78. s_mode <= mode_i;
  79. s_des2_key <= key2_i;
  80. s_des3_key <= key3_i;
  81. end if;
  82. end if;
  83. end process inputregister;
  84. outputregister : process(clk_i, reset_i) is
  85. begin
  86. if(reset_i = '0') then
  87. s_ready <= '0';
  88. elsif(rising_edge(clk_i)) then
  89. if(valid_i = '1' and s_ready = '1') then
  90. s_ready <= '0';
  91. end if;
  92. if(s_des3_validout = '1' or (reset_i = '1' and s_reset = '0')) then
  93. s_ready <= '1';
  94. end if;
  95. end if;
  96. end process outputregister;
  97. i1_des : des
  98. port map (
  99. clk_i => clk_i,
  100. mode_i => mode_i,
  101. key_i => key1_i,
  102. data_i => data_i,
  103. valid_i => s_des1_validin,
  104. data_o => s_des1_dataout,
  105. valid_o => s_des1_validout
  106. );
  107. i2_des : des
  108. port map (
  109. clk_i => clk_i,
  110. mode_i => s_des2_mode,
  111. key_i => s_des2_key,
  112. data_i => s_des1_dataout,
  113. valid_i => s_des1_validout,
  114. data_o => s_des2_dataout,
  115. valid_o => s_des2_validout
  116. );
  117. i3_des : des
  118. port map (
  119. clk_i => clk_i,
  120. mode_i => s_mode,
  121. key_i => s_des3_key,
  122. data_i => s_des2_dataout,
  123. valid_i => s_des2_validout,
  124. data_o => data_o,
  125. valid_o => s_des3_validout
  126. );
  127. end architecture rtl;