cryptography ip-cores in vhdl / verilog
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

472 lines
19 KiB

  1. -- ======================================================================
  2. -- CBC-DES encryption/decryption testbench
  3. -- tests according to NIST 800-17 special publication
  4. -- Copyright (C) 2011 Torsten Meissner
  5. -------------------------------------------------------------------------
  6. -- This program is free software; you can redistribute it and/or modify
  7. -- it under the terms of the GNU General Public License as published by
  8. -- the Free Software Foundation; either version 2 of the License, or
  9. -- (at your option) any later version.
  10. -- This program is distributed in the hope that it will be useful,
  11. -- but WITHOUT ANY WARRANTY; without even the implied warranty of
  12. -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
  13. -- GNU General Public License for more details.
  14. -- You should have received a copy of the GNU General Public License
  15. -- along with this program; if not, write to the Free Software
  16. -- Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
  17. -- ======================================================================
  18. -- Revision 1.0 2011/09/23
  19. -- Initial release, variable plaintext known answer test integrated
  20. -- Revision 1.1 2011/09/25
  21. -- integrated all encryption tests of NIST 800-17 publication except the modes-tests
  22. library ieee;
  23. use ieee.std_logic_1164.all;
  24. use ieee.numeric_std.all;
  25. entity tb_cbcdes is
  26. end entity tb_cbcdes;
  27. architecture rtl of tb_cbcdes is
  28. type t_array is array (natural range <>) of std_logic_vector(0 to 63);
  29. constant c_variable_plaintext_known_answers : t_array(0 to 63) :=
  30. (x"95F8A5E5DD31D900", x"DD7F121CA5015619", x"2E8653104F3834EA",
  31. x"4BD388FF6CD81D4F", x"20B9E767B2FB1456", x"55579380D77138EF",
  32. x"6CC5DEFAAF04512F", x"0D9F279BA5D87260", x"D9031B0271BD5A0A",
  33. x"424250B37C3DD951", x"B8061B7ECD9A21E5", x"F15D0F286B65BD28",
  34. x"ADD0CC8D6E5DEBA1", x"E6D5F82752AD63D1", x"ECBFE3BD3F591A5E",
  35. x"F356834379D165CD", x"2B9F982F20037FA9", x"889DE068A16F0BE6",
  36. x"E19E275D846A1298", x"329A8ED523D71AEC", x"E7FCE22557D23C97",
  37. x"12A9F5817FF2D65D", x"A484C3AD38DC9C19", x"FBE00A8A1EF8AD72",
  38. x"750D079407521363", x"64FEED9C724C2FAF", x"F02B263B328E2B60",
  39. x"9D64555A9A10B852", x"D106FF0BED5255D7", x"E1652C6B138C64A5",
  40. x"E428581186EC8F46", x"AEB5F5EDE22D1A36", x"E943D7568AEC0C5C",
  41. x"DF98C8276F54B04B", x"B160E4680F6C696F", x"FA0752B07D9C4AB8",
  42. x"CA3A2B036DBC8502", x"5E0905517BB59BCF", x"814EEB3B91D90726",
  43. x"4D49DB1532919C9F", x"25EB5FC3F8CF0621", x"AB6A20C0620D1C6F",
  44. x"79E90DBC98F92CCA", x"866ECEDD8072BB0E", x"8B54536F2F3E64A8",
  45. x"EA51D3975595B86B", x"CAFFC6AC4542DE31", x"8DD45A2DDF90796C",
  46. x"1029D55E880EC2D0", x"5D86CB23639DBEA9", x"1D1CA853AE7C0C5F",
  47. x"CE332329248F3228", x"8405D1ABE24FB942", x"E643D78090CA4207",
  48. x"48221B9937748A23", x"DD7C0BBD61FAFD54", x"2FBC291A570DB5C4",
  49. x"E07C30D7E4E26E12", x"0953E2258E8E90A1", x"5B711BC4CEEBF2EE",
  50. x"CC083F1E6D9E85F6", x"D2FD8867D50D2DFE", x"06E7EA22CE92708F",
  51. x"166B40B44ABA4BD6");
  52. constant c_variable_key_known_answers : t_array(0 to 55) :=
  53. (x"95A8D72813DAA94D", x"0EEC1487DD8C26D5", x"7AD16FFB79C45926",
  54. x"D3746294CA6A6CF3", x"809F5F873C1FD761", x"C02FAFFEC989D1FC",
  55. x"4615AA1D33E72F10", x"2055123350C00858", x"DF3B99D6577397C8",
  56. x"31FE17369B5288C9", x"DFDD3CC64DAE1642", x"178C83CE2B399D94",
  57. x"50F636324A9B7F80", x"A8468EE3BC18F06D", x"A2DC9E92FD3CDE92",
  58. x"CAC09F797D031287", x"90BA680B22AEB525", x"CE7A24F350E280B6",
  59. x"882BFF0AA01A0B87", x"25610288924511C2", x"C71516C29C75D170",
  60. x"5199C29A52C9F059", x"C22F0A294A71F29F", x"EE371483714C02EA",
  61. x"A81FBD448F9E522F", x"4F644C92E192DFED", x"1AFA9A66A6DF92AE",
  62. x"B3C1CC715CB879D8", x"19D032E64AB0BD8B", x"3CFAA7A7DC8720DC",
  63. x"B7265F7F447AC6F3", x"9DB73B3C0D163F54", x"8181B65BABF4A975",
  64. x"93C9B64042EAA240", x"5570530829705592", x"8638809E878787A0",
  65. x"41B9A79AF79AC208", x"7A9BE42F2009A892", x"29038D56BA6D2745",
  66. x"5495C6ABF1E5DF51", x"AE13DBD561488933", x"024D1FFA8904E389",
  67. x"D1399712F99BF02E", x"14C1D7C1CFFEC79E", x"1DE5279DAE3BED6F",
  68. x"E941A33F85501303", x"DA99DBBC9A03F379", x"B7FC92F91D8E92E9",
  69. x"AE8E5CAA3CA04E85", x"9CC62DF43B6EED74", x"D863DBB5C59A91A0",
  70. x"A1AB2190545B91D7", x"0875041E64C570F7", x"5A594528BEBEF1CC",
  71. x"FCDB3291DE21F0C0", x"869EFD7F9F265A09");
  72. constant c_permutation_operation_known_answers_keys : t_array(0 to 31) :=
  73. (x"1046913489980131", x"1007103489988020", x"10071034C8980120",
  74. x"1046103489988020", x"1086911519190101", x"1086911519580101",
  75. x"5107B01519580101", x"1007B01519190101", x"3107915498080101",
  76. x"3107919498080101", x"10079115B9080140", x"3107911598080140",
  77. x"1007D01589980101", x"9107911589980101", x"9107D01589190101",
  78. x"1007D01598980120", x"1007940498190101", x"0107910491190401",
  79. x"0107910491190101", x"0107940491190401", x"19079210981A0101",
  80. x"1007911998190801", x"10079119981A0801", x"1007921098190101",
  81. x"100791159819010B", x"1004801598190101", x"1004801598190102",
  82. x"1004801598190108", x"1002911598100104", x"1002911598190104",
  83. x"1002911598100201", x"1002911698100101");
  84. constant c_permutation_operation_known_answers_cipher : t_array(0 to 31) :=
  85. (x"88D55E54F54C97B4", x"0C0CC00C83EA48FD", x"83BC8EF3A6570183",
  86. x"DF725DCAD94EA2E9", x"E652B53B550BE8B0", x"AF527120C485CBB0",
  87. x"0F04CE393DB926D5", x"C9F00FFC74079067", x"7CFD82A593252B4E",
  88. x"CB49A2F9E91363E3", x"00B588BE70D23F56", x"406A9A6AB43399AE",
  89. x"6CB773611DCA9ADA", x"67FD21C17DBB5D70", x"9592CB4110430787",
  90. x"A6B7FF68A318DDD3", x"4D102196C914CA16", x"2DFA9F4573594965",
  91. x"B46604816C0E0774", x"6E7E6221A4F34E87", x"AA85E74643233199",
  92. x"2E5A19DB4D1962D6", x"23A866A809D30894", x"D812D961F017D320",
  93. x"055605816E58608F", x"ABD88E8B1B7716F1", x"537AC95BE69DA1E1",
  94. x"AED0F6AE3C25CDD8", x"B3E35A5EE53E7B8D", x"61C79C71921A2EF8",
  95. x"E2F5728F0995013C", x"1AEAC39A61F0A464");
  96. constant c_substitution_table_test_keys : t_array(0 to 18) :=
  97. (x"7CA110454A1A6E57", x"0131D9619DC1376E", x"07A1133E4A0B2686",
  98. x"3849674C2602319E", x"04B915BA43FEB5B6", x"0113B970FD34F2CE",
  99. x"0170F175468FB5E6", x"43297FAD38E373FE", x"07A7137045DA2A16",
  100. x"04689104C2FD3B2F", x"37D06BB516CB7546", x"1F08260D1AC2465E",
  101. x"584023641ABA6176", x"025816164629B007", x"49793EBC79B3258F",
  102. x"4FB05E1515AB73A7", x"49E95D6D4CA229BF", x"018310DC409B26D6",
  103. x"1C587F1C13924FEF");
  104. constant c_substitution_table_test_plain : t_array(0 to 18) :=
  105. (x"01A1D6D039776742", x"5CD54CA83DEF57DA", x"0248D43806F67172",
  106. x"51454B582DDF440A", x"42FD443059577FA2", x"059B5E0851CF143A",
  107. x"0756D8E0774761D2", x"762514B829BF486A", x"3BDD119049372802",
  108. x"26955F6835AF609A", x"164D5E404F275232", x"6B056E18759F5CCA",
  109. x"004BD6EF09176062", x"480D39006EE762F2", x"437540C8698F3CFA",
  110. x"072D43A077075292", x"02FE55778117F12A", x"1D9D5C5018F728C2",
  111. x"305532286D6F295A");
  112. constant c_substitution_table_test_cipher : t_array(0 to 18) :=
  113. (x"690F5B0D9A26939B", x"7A389D10354BD271", x"868EBB51CAB4599A",
  114. x"7178876E01F19B2A", x"AF37FB421F8C4095", x"86A560F10EC6D85B",
  115. x"0CD3DA020021DC09", x"EA676B2CB7DB2B7A", x"DFD64A815CAF1A0F",
  116. x"5C513C9C4886C088", x"0A2AEEAE3FF4AB77", x"EF1BF03E5DFA575A",
  117. x"88BF0DB6D70DEE56", x"A1F9915541020B56", x"6FBF1CAFCFFD0556",
  118. x"2F22E49BAB7CA1AC", x"5A6B612CC26CCE4A", x"5F4C038ED12B2E41",
  119. x"63FAC0D034D9F793");
  120. signal s_reset : std_logic := '0';
  121. signal s_clk : std_logic := '0';
  122. signal s_start : std_logic := '0';
  123. signal s_mode : std_logic := '0';
  124. signal s_key : std_logic_vector(0 to 63) := (others => '0');
  125. signal s_iv : std_logic_vector(0 to 63) := (others => '0');
  126. signal s_datain : std_logic_vector(0 to 63) := (others => '0');
  127. signal s_validin : std_logic := '0';
  128. signal s_ready : std_logic := '0';
  129. signal s_dataout : std_logic_vector(0 to 63);
  130. signal s_validout : std_logic;
  131. component cbcdes is
  132. port (
  133. reset_i : in std_logic;
  134. clk_i : in std_logic;
  135. mode_i : in std_logic;
  136. start_i : in std_logic;
  137. iv_i : in std_logic_vector(0 to 63);
  138. key_i : in std_logic_vector(0 TO 63);
  139. data_i : in std_logic_vector(0 TO 63);
  140. valid_i : in std_logic;
  141. ready_o : out std_logic;
  142. data_o : out std_logic_vector(0 TO 63);
  143. valid_o : out std_logic
  144. );
  145. end component cbcdes;
  146. begin
  147. s_reset <= '1' after 100 ns;
  148. s_clk <= not(s_clk) after 10 ns;
  149. teststimuliP : process is
  150. begin
  151. -- ENCRYPTION TESTS
  152. s_start <= '0';
  153. s_mode <= '0';
  154. s_validin <= '0';
  155. s_iv <= (others => '0');
  156. s_key <= x"0101010101010101";
  157. s_datain <= x"8000000000000000";
  158. -- Variable plaintext known answer test
  159. for index in c_variable_plaintext_known_answers'range loop
  160. wait until rising_edge(s_clk) and s_ready = '1';
  161. s_validin <= '1';
  162. s_start <= '1';
  163. if(index /= 0) then
  164. s_datain <= '0' & s_datain(0 to 62);
  165. end if;
  166. wait until rising_edge(s_clk);
  167. s_validin <= '0';
  168. s_start <= '0';
  169. end loop;
  170. wait until rising_edge(s_clk);
  171. s_start <= '0';
  172. s_mode <= '0';
  173. s_validin <= '0';
  174. s_iv <= (others => '0');
  175. s_key <= (others => '0');
  176. s_datain <= (others => '0');
  177. wait for 1 us;
  178. -- Inverse permutation known answer test
  179. s_key <= x"0101010101010101";
  180. for index in c_variable_plaintext_known_answers'range loop
  181. wait until rising_edge(s_clk) and s_ready = '1';
  182. s_validin <= '1';
  183. s_start <= '1';
  184. s_datain <= c_variable_plaintext_known_answers(index);
  185. wait until rising_edge(s_clk);
  186. s_validin <= '0';
  187. s_start <= '0';
  188. end loop;
  189. wait until rising_edge(s_clk);
  190. s_start <= '0';
  191. s_mode <= '0';
  192. s_validin <= '0';
  193. s_iv <= (others => '0');
  194. s_key <= (others => '0');
  195. s_datain <= (others => '0');
  196. wait for 1 us;
  197. -- Variable key known answer test
  198. s_key <= x"8000000000000000";
  199. for index in c_variable_key_known_answers'range loop
  200. wait until rising_edge(s_clk) and s_ready = '1';
  201. s_validin <= '1';
  202. s_start <= '1';
  203. if(index /= 0) then
  204. if(index = 7 or index = 14 or index = 21 or index = 28 or index = 35 or
  205. index = 42 or index = 49) then
  206. s_key <= "00" & s_key(0 to 61);
  207. else
  208. s_key <= '0' & s_key(0 to 62);
  209. end if;
  210. end if;
  211. wait until rising_edge(s_clk);
  212. s_validin <= '0';
  213. s_start <= '0';
  214. end loop;
  215. wait until rising_edge(s_clk);
  216. s_start <= '0';
  217. s_mode <= '0';
  218. s_validin <= '0';
  219. s_iv <= (others => '0');
  220. s_key <= (others => '0');
  221. s_datain <= (others => '0');
  222. wait for 1 us;
  223. -- Permutation operation known answer test
  224. s_datain <= x"0000000000000000";
  225. for index in c_permutation_operation_known_answers_keys'range loop
  226. wait until rising_edge(s_clk) and s_ready = '1';
  227. s_validin <= '1';
  228. s_start <= '1';
  229. s_key <= c_permutation_operation_known_answers_keys(index);
  230. wait until rising_edge(s_clk);
  231. s_validin <= '0';
  232. s_start <= '0';
  233. end loop;
  234. wait until rising_edge(s_clk);
  235. s_start <= '0';
  236. s_mode <= '0';
  237. s_validin <= '0';
  238. s_iv <= (others => '0');
  239. s_key <= (others => '0');
  240. s_datain <= (others => '0');
  241. wait for 1 us;
  242. -- Substitution table known answer test
  243. for index in c_substitution_table_test_keys'range loop
  244. wait until rising_edge(s_clk) and s_ready = '1';
  245. s_validin <= '1';
  246. s_start <= '1';
  247. s_key <= c_substitution_table_test_keys(index);
  248. s_datain <= c_substitution_table_test_plain(index);
  249. wait until rising_edge(s_clk);
  250. s_validin <= '0';
  251. s_start <= '0';
  252. end loop;
  253. wait until rising_edge(s_clk);
  254. -- DECRYPTION TESTS
  255. s_start <= '0';
  256. s_mode <= '0';
  257. s_validin <= '0';
  258. s_iv <= (others => '0');
  259. s_key <= (others => '0');
  260. s_datain <= (others => '0');
  261. wait for 1 us;
  262. -- -- Variable ciphertext known answer test
  263. -- s_key <= x"0101010101010101";
  264. -- for index in c_variable_plaintext_known_answers'range loop
  265. -- wait until rising_edge(s_clk);
  266. -- s_mode <= '1';
  267. -- s_validin <= '1';
  268. -- s_datain <= c_variable_plaintext_known_answers(index);
  269. -- end loop;
  270. -- wait until rising_edge(s_clk);
  271. -- s_mode <= '0';
  272. -- s_validin <= '0';
  273. -- s_key <= (others => '0');
  274. -- s_datain <= (others => '0');
  275. -- wait for 100 ns;
  276. -- -- Initial permutation known answer test
  277. -- s_key <= x"0101010101010101";
  278. -- s_datain <= x"8000000000000000";
  279. -- for index in c_variable_plaintext_known_answers'range loop
  280. -- wait until rising_edge(s_clk);
  281. -- s_mode <= '1';
  282. -- s_validin <= '1';
  283. -- if(index /= 0) then
  284. -- s_datain <= '0' & s_datain(0 to 62);
  285. -- end if;
  286. -- end loop;
  287. -- wait until rising_edge(s_clk);
  288. -- s_mode <= '0';
  289. -- s_validin <= '0';
  290. -- s_key <= (others => '0');
  291. -- s_datain <= (others => '0');
  292. -- -- Variable key known answer test
  293. -- s_key <= x"8000000000000000";
  294. -- for index in c_variable_key_known_answers'range loop
  295. -- wait until rising_edge(s_clk);
  296. -- s_mode <= '1';
  297. -- s_validin <= '1';
  298. -- s_datain <= c_variable_key_known_answers(index);
  299. -- if(index /= 0) then
  300. -- if(index = 7 or index = 14 or index = 21 or index = 28 or index = 35 or
  301. -- index = 42 or index = 49) then
  302. -- s_key <= "00" & s_key(0 to 61);
  303. -- else
  304. -- s_key <= '0' & s_key(0 to 62);
  305. -- end if;
  306. -- end if;
  307. -- end loop;
  308. -- wait until rising_edge(s_clk);
  309. -- s_mode <= '0';
  310. -- s_validin <= '0';
  311. -- s_key <= (others => '0');
  312. -- s_datain <= (others => '0');
  313. -- wait for 100 ns;
  314. -- -- Permutation operation known answer test
  315. -- for index in c_permutation_operation_known_answers_keys'range loop
  316. -- wait until rising_edge(s_clk);
  317. -- s_mode <= '1';
  318. -- s_validin <= '1';
  319. -- s_datain <= c_permutation_operation_known_answers_cipher(index);
  320. -- s_key <= c_permutation_operation_known_answers_keys(index);
  321. -- end loop;
  322. -- wait until rising_edge(s_clk);
  323. -- s_mode <= '0';
  324. -- s_validin <= '0';
  325. -- s_key <= (others => '0');
  326. -- s_datain <= (others => '0');
  327. -- wait for 100 ns;
  328. -- -- Substitution table known answer test
  329. -- for index in c_substitution_table_test_keys'range loop
  330. -- wait until rising_edge(s_clk);
  331. -- s_mode <= '1';
  332. -- s_validin <= '1';
  333. -- s_key <= c_substitution_table_test_keys(index);
  334. -- s_datain <= c_substitution_table_test_cipher(index);
  335. -- end loop;
  336. -- wait until rising_edge(s_clk);
  337. -- s_mode <= '0';
  338. -- s_validin <= '0';
  339. -- s_key <= (others => '0');
  340. -- s_datain <= (others => '0');
  341. wait;
  342. end process teststimuliP;
  343. testcheckerP : process is
  344. variable v_plaintext : std_logic_vector(0 to 63) := x"8000000000000000";
  345. begin
  346. report "# ENCRYPTION TESTS";
  347. report "# Variable plaintext known answer test";
  348. for index in c_variable_plaintext_known_answers'range loop
  349. wait until rising_edge(s_clk) and s_validout = '1';
  350. assert (s_dataout = c_variable_plaintext_known_answers(index))
  351. report "encryption error"
  352. severity error;
  353. end loop;
  354. report "# Inverse permutation known answer test";
  355. for index in c_variable_plaintext_known_answers'range loop
  356. wait until rising_edge(s_clk) and s_validout = '1';
  357. assert (s_dataout = v_plaintext)
  358. report "encryption error"
  359. severity error;
  360. v_plaintext := '0' & v_plaintext(0 to 62);
  361. end loop;
  362. report "# Variable key known answer test";
  363. for index in c_variable_key_known_answers'range loop
  364. wait until rising_edge(s_clk) and s_validout = '1';
  365. assert (s_dataout = c_variable_key_known_answers(index))
  366. report "encryption error"
  367. severity error;
  368. end loop;
  369. report "# Permutation operation known answer test";
  370. for index in c_permutation_operation_known_answers_cipher'range loop
  371. wait until rising_edge(s_clk) and s_validout = '1';
  372. assert (s_dataout = c_permutation_operation_known_answers_cipher(index))
  373. report "encryption error"
  374. severity error;
  375. end loop;
  376. report "# Substitution table known answer test";
  377. for index in c_substitution_table_test_cipher'range loop
  378. wait until rising_edge(s_clk) and s_validout = '1';
  379. assert (s_dataout = c_substitution_table_test_cipher(index))
  380. report "encryption error"
  381. severity error;
  382. end loop;
  383. -- report "# DECRYPTION TESTS";
  384. -- report "# Variable ciphertext known answer test";
  385. -- v_plaintext := x"8000000000000000";
  386. -- for index in c_variable_plaintext_known_answers'range loop
  387. -- wait until rising_edge(s_clk) and s_validout = '1';
  388. -- assert (s_dataout = v_plaintext)
  389. -- report "decryption error"
  390. -- severity error;
  391. -- v_plaintext := '0' & v_plaintext(0 to 62);
  392. -- end loop;
  393. -- report "# Initial permutation known answer test";
  394. -- for index in c_variable_plaintext_known_answers'range loop
  395. -- wait until rising_edge(s_clk) and s_validout = '1';
  396. -- assert (s_dataout = c_variable_plaintext_known_answers(index))
  397. -- report "decryption error"
  398. -- severity error;
  399. -- end loop;
  400. -- report "# Variable key known answer test";
  401. -- for index in c_variable_key_known_answers'range loop
  402. -- wait until rising_edge(s_clk) and s_validout = '1';
  403. -- assert (s_dataout = x"0000000000000000")
  404. -- report "decryption error"
  405. -- severity error;
  406. -- end loop;
  407. -- report "# Permutation operation known answer test";
  408. -- for index in c_permutation_operation_known_answers_keys'range loop
  409. -- wait until rising_edge(s_clk) and s_validout = '1';
  410. -- assert (s_dataout = x"0000000000000000")
  411. -- report "decryption error"
  412. -- severity error;
  413. -- end loop;
  414. -- report "# Substitution table known answer test";
  415. -- for index in c_substitution_table_test_cipher'range loop
  416. -- wait until rising_edge(s_clk) and s_validout = '1';
  417. -- assert (s_dataout = c_substitution_table_test_plain(index))
  418. -- report "decryption error"
  419. -- severity error;
  420. -- end loop;
  421. report "# Successfully passed all tests";
  422. wait;
  423. end process testcheckerP;
  424. i_cbcdes : cbcdes
  425. port map (
  426. reset_i => s_reset,
  427. clk_i => s_clk,
  428. start_i => s_start,
  429. mode_i => s_mode,
  430. key_i => s_key,
  431. iv_i => s_iv,
  432. data_i => s_datain,
  433. valid_i => s_validin,
  434. ready_o => s_ready,
  435. data_o => s_dataout,
  436. valid_o => s_validout
  437. );
  438. end architecture rtl;