|
@@ -19,30 +19,31 @@
|
19
|
19
|
# ======================================================================
|
20
|
20
|
|
21
|
21
|
|
22
|
|
-DES_SRC_FILES := ../../../des/rtl/vhdl/des_pkg.vhd ../../../des/rtl/vhdl/des.vhd
|
23
|
|
-TDES_SRC_FILES := ../../rtl/vhdl/tdes.vhd
|
24
|
|
-SRC_FILES := $(DES_SRC_FILES) $(TDES_SRC_FILES)
|
|
22
|
+DESIGN_NAME := tdes
|
|
23
|
+SRC_FILES := ../../../des/rtl/vhdl/des_pkg.vhd \
|
|
24
|
+ ../../../des/rtl/vhdl/des.vhd \
|
|
25
|
+ ../../rtl/vhdl/$(DESIGN_NAME).vhd
|
25
|
26
|
VHD_STD := 08
|
26
|
27
|
|
27
|
28
|
|
28
|
29
|
.PHONY: sim
|
29
|
|
-sim : tb_tdes.ghw
|
|
30
|
+sim : tb_$(DESIGN_NAME).ghw
|
30
|
31
|
|
31
|
32
|
.PHONY: all
|
32
|
33
|
all : wave
|
33
|
34
|
|
34
|
35
|
|
35
|
|
-tb_des.o: $(SRC_FILES) tb_tdes.vhd
|
36
|
|
- ghdl -a --std=$(VHD_STD) $(SRC_FILES) tb_tdes.vhd
|
|
36
|
+tb_$(DESIGN_NAME).o: $(SRC_FILES) tb_$(DESIGN_NAME).vhd
|
|
37
|
+ ghdl -a --std=$(VHD_STD) $(SRC_FILES) $(basename $@).vhd
|
37
|
38
|
|
38
|
|
-tb_tdes.ghw : tb_des.o
|
39
|
|
- ghdl -e --std=$(VHD_STD) tb_tdes
|
40
|
|
- ghdl -r tb_tdes --wave=tb_tdes.ghw --assert-level=error --stop-time=45us
|
|
39
|
+tb_$(DESIGN_NAME).ghw : tb_$(DESIGN_NAME).o
|
|
40
|
+ ghdl -e --std=$(VHD_STD) $(basename $@)
|
|
41
|
+ ghdl -r $(basename $@) --wave=$@ --assert-level=error
|
41
|
42
|
|
42
|
|
-wave : tb_tdes.ghw
|
43
|
|
- gtkwave -s tb_tdes.tcl tb_tdes.ghw
|
|
43
|
+wave : tb_$(DESIGN_NAME).ghw
|
|
44
|
+ gtkwave -s tb_$(DESIGN_NAME).tcl tb_$(DESIGN_NAME).ghw
|
44
|
45
|
|
45
|
46
|
|
46
|
47
|
clean :
|
47
|
48
|
echo "# Cleaning files"
|
48
|
|
- rm -f *.ghw *.o tb_tdes work*.cf
|
|
49
|
+ rm -f *.ghw *.o tb_$(DESIGN_NAME) work*.cf
|