Browse Source

beauty care

master
T. Meissner 11 years ago
parent
commit
715b8b1229
1 changed files with 1 additions and 1 deletions
  1. +1
    -1
      tdes/sim/vhdl/tb_tdes.vhd

+ 1
- 1
tdes/sim/vhdl/tb_tdes.vhd View File

@ -170,7 +170,7 @@ begin
valid_i => s_validin,
data_o => s_dataout,
valid_o => s_validout,
ready_o => s_ready
ready_o => s_ready
);


Loading…
Cancel
Save