Browse Source

correct minor bug

master
Torsten Meissner 12 years ago
parent
commit
85be1daaf9
1 changed files with 2 additions and 2 deletions
  1. +2
    -2
      aes/rtl/aes_pkg.vhd

+ 2
- 2
aes/rtl/aes_pkg.vhd View File

@ -146,8 +146,8 @@ package body aes_pkg is
v_a := a;
v_b := b;
for index in 0 to 7 loop
if(b(0) = '1') then
v_data := v_data xor a;
if(v_b(0) = '1') then
v_data := v_data xor v_a;
end if;
v_hi_bit_set := a(7);
v_a := v_a(6 downto 0) & '0';


Loading…
Cancel
Save