Browse Source

integrated tcl-file into gtkwave starting parameters

master
Torsten Meissner 12 years ago
parent
commit
ac8f8eb529
1 changed files with 1 additions and 1 deletions
  1. +1
    -1
      aes/sim/makefile

+ 1
- 1
aes/sim/makefile View File

@ -33,7 +33,7 @@ tb_aes.ghw : ../rtl/*.vhd tb_aes.vhd
ghdl -r tb_aes --wave=tb_aes.ghw --assert-level=error --stop-time=10us
wave : tb_aes.ghw
gtkwave tb_aes.ghw
gtkwave -T tb_aes.tcl tb_aes.ghw
clean :
echo "# cleaning simulation files"


Loading…
Cancel
Save