Trying to verify Verilog/VHDL designs with formal methods and tools
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

68 lines
1.8 KiB

6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
  1. module alu_t (
  2. input Reset_n_i,
  3. input Clk_i,
  4. input [1:0] Opc_i,
  5. input [31:0] DinA_i,
  6. input [31:0] DinB_i,
  7. output [31:0] Dout_o,
  8. output OverFlow_o
  9. );
  10. `define OPC_ADD 0
  11. `define OPC_SUB 1
  12. `define OPC_AND 2
  13. `define OPC_OR 3
  14. alu alu_i (
  15. .Reset_n_i(Reset_n_i),
  16. .Clk_i(Clk_i),
  17. .Opc_i(Opc_i),
  18. .DinA_i(DinA_i),
  19. .DinB_i(DinB_i),
  20. .Dout_o(Dout_o),
  21. .OverFlow_o(OverFlow_o)
  22. );
  23. reg init_state = 1;
  24. // Initial reset
  25. always @(*) begin
  26. if (init_state) assume (!Reset_n_i);
  27. if (!init_state) assume (Reset_n_i);
  28. end
  29. always @(posedge Clk_i)
  30. init_state = 0;
  31. bit unsigned [32:0] dina, dinb;
  32. assign dina = DinA_i;
  33. assign dinb = DinB_i;
  34. assert property (@(posedge Clk_i) disable iff (!Reset_n_i) Opc_i == `OPC_ADD |=> Dout_o == ($past(DinA_i) + $past(DinB_i)));
  35. assert property (@(posedge Clk_i) disable iff (!Reset_n_i) Opc_i == `OPC_ADD && (dina + dinb) > 2**32-1 |=> OverFlow_o);
  36. assert property (@(posedge Clk_i) disable iff (!Reset_n_i) Opc_i == `OPC_SUB |=> Dout_o == ($past(DinA_i) - $past(DinB_i)));
  37. assert property (@(posedge Clk_i) disable iff (!Reset_n_i) Opc_i == `OPC_SUB && (dina - dinb) > 2**32-1 |=> OverFlow_o);
  38. assert property (@(posedge Clk_i) disable iff (!Reset_n_i) Opc_i == `OPC_AND |=> Dout_o == ($past(DinA_i) & $past(DinB_i)));
  39. assert property (@(posedge Clk_i) disable iff (!Reset_n_i) Opc_i == `OPC_OR |=> Dout_o == ($past(DinA_i) | $past(DinB_i)));
  40. always @(*)
  41. if (!Reset_n_i) assert (Dout_o == 0);
  42. property cover_opc (opc);
  43. @(posedge Clk_i)
  44. disable iff (!Reset_n_i) Opc_i == opc;
  45. endproperty
  46. cover property (cover_opc(`OPC_ADD));
  47. cover property (cover_opc(`OPC_SUB));
  48. cover property (cover_opc(`OPC_AND));
  49. cover property (cover_opc(`OPC_OR));
  50. endmodule