Trying to verify Verilog/VHDL designs with formal methods and tools
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

22 lines
259 B

  1. [tasks]
  2. cover
  3. bmc
  4. prove
  5. [options]
  6. depth 20
  7. cover: mode cover
  8. bmc: mode bmc
  9. prove: mode prove
  10. [engines]
  11. cover: smtbmc z3
  12. bmc: abc bmc3
  13. prove: abc pdr
  14. [script]
  15. ghdl --std=08 -gFormal=true -gDepth=8 -gWidth=4 fifo.vhd -e fifo
  16. prep -auto-top
  17. [files]
  18. fifo.vhd