Browse Source

Use chformal to remove unreachable cover cells

master
T. Meissner 3 years ago
parent
commit
667601fd5e
1 changed files with 6 additions and 0 deletions
  1. +6
    -0
      vai_fifo/symbiyosys.sby

+ 6
- 0
vai_fifo/symbiyosys.sby View File

@ -17,7 +17,13 @@ prove: abc pdr
[script]
ghdl --std=08 -gFormal=true -gDepth=16 -gWidth=16 fifo.vhd vai_fifo.vhd -e vai_fifo
prep -top vai_fifo
# Convert all assumes to asserts in sub-unit i_fifo
chformal -assume2assert vai_fifo/i_fifo %M
# Remove selected covers in i_fifo sub-unit as they cannot be reached
chformal -cover -remove */formalg.read_pnt_stable_when_empty.cover
chformal -cover -remove */formalg.rerror.cover
chformal -cover -remove */formalg.werror.cover
chformal -cover -remove */formalg.write_pnt_stable_when_full.cover
[files]
../fifo/fifo.vhd


Loading…
Cancel
Save