You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

16 lines
694 B

2 years ago
2 years ago
2 years ago
  1. # gatemate_experiments
  2. Ongoing experiments with the Cologne Chip's GateMate FPGA architecture. All experiments are done with teh GateMate FPGA Starter (Eval) Kit.
  3. ## Designs
  4. ### blink
  5. Simple design which should display incrementing binary numbers with LED1-LED8 of the GateMate FPGA Starter Kit. It uses *CC_PLL* & *CC_CFG_END* primitives of the GateMate FPGA.
  6. ## Further Ressources
  7. * [GateMate FPGA](https://www.colognechip.com/programmable-logic/gatemate)
  8. * [GateMate FPGA Eval Board](https://www.colognechip.com/programmable-logic/gatemate-evaluation-board)
  9. * [GHDL VHDL Simulation & Synthesis](https://github.com/ghdl/ghdl)
  10. * [Yosys Synthesis Suite](https://github.com/YosysHQ/yosys)