You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
 
 
 
 
 
T. Meissner 8cf0e6185c blink & uart_reg designs are working now 2 years ago
..
uart_reg.vhd blink & uart_reg designs are working now 2 years ago
uart_rx.vhd Add uart tx/rx modules, add make targets and testbenches for rtl, post-syn & post-imp simulations 2 years ago
uart_tx.vhd Add uart tx/rx modules, add make targets and testbenches for rtl, post-syn & post-imp simulations 2 years ago