|
@ -1,24 +1,25 @@ |
|
|
library ieee; |
|
|
library ieee; |
|
|
use ieee.std_logic_1164.all; |
|
|
use ieee.std_logic_1164.all; |
|
|
|
|
|
|
|
|
--+ including vhdl 2008 libraries |
|
|
|
|
|
--+ These lines can be commented out when using |
|
|
|
|
|
--+ a simulator with built-in VHDL 2008 support |
|
|
|
|
|
--library ieee_proposed; |
|
|
|
|
|
-- use ieee_proposed.standard_additions.all; |
|
|
|
|
|
-- use ieee_proposed.std_logic_1164_additions.all; |
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
package StackP is |
|
|
package StackP is |
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
generic ( |
|
|
|
|
|
type STACK_TYPE; |
|
|
|
|
|
MAX_LEN : natural := 64; |
|
|
|
|
|
function to_string(d : in STACK_TYPE) return string |
|
|
|
|
|
); |
|
|
|
|
|
|
|
|
-- linked list stack interface |
|
|
-- linked list stack interface |
|
|
type t_stack is protected |
|
|
type t_stack is protected |
|
|
|
|
|
|
|
|
procedure push (data : in std_logic_vector); |
|
|
|
|
|
procedure pop (data : inout std_logic_vector); |
|
|
|
|
|
|
|
|
procedure push (data : in STACK_TYPE); |
|
|
|
|
|
procedure pop (data : inout STACK_TYPE); |
|
|
procedure init (logging : in boolean := false); |
|
|
procedure init (logging : in boolean := false); |
|
|
impure function is_empty return boolean; |
|
|
impure function is_empty return boolean; |
|
|
|
|
|
impure function is_full return boolean; |
|
|
impure function fillstate return natural; |
|
|
impure function fillstate return natural; |
|
|
|
|
|
|
|
|
end protected t_stack; |
|
|
end protected t_stack; |
|
@ -37,7 +38,7 @@ package body StackP is |
|
|
type t_entry; |
|
|
type t_entry; |
|
|
type t_entry_ptr is access t_entry; |
|
|
type t_entry_ptr is access t_entry; |
|
|
|
|
|
|
|
|
type t_data_ptr is access std_logic_vector; |
|
|
|
|
|
|
|
|
type t_data_ptr is access STACK_TYPE; |
|
|
|
|
|
|
|
|
type t_entry is record |
|
|
type t_entry is record |
|
|
data : t_data_ptr; |
|
|
data : t_data_ptr; |
|
@ -52,32 +53,38 @@ package body StackP is |
|
|
|
|
|
|
|
|
-- write one entry into queue by |
|
|
-- write one entry into queue by |
|
|
-- creating new entry at head of list |
|
|
-- creating new entry at head of list |
|
|
procedure push (data : in std_logic_vector) is |
|
|
|
|
|
|
|
|
procedure push (data : in STACK_TYPE) is |
|
|
variable v_entry : t_entry_ptr; |
|
|
variable v_entry : t_entry_ptr; |
|
|
begin |
|
|
begin |
|
|
if (v_count /= 0) then |
|
|
|
|
|
v_entry := new t_entry; |
|
|
|
|
|
v_entry.data := new std_logic_vector'(data); |
|
|
|
|
|
v_entry.last_entry := v_head; |
|
|
|
|
|
v_entry.next_entry := null; |
|
|
|
|
|
v_head := v_entry; |
|
|
|
|
|
v_head.last_entry.next_entry := v_head; |
|
|
|
|
|
|
|
|
if (not(is_full)) then |
|
|
|
|
|
if (v_count /= 0) then |
|
|
|
|
|
v_entry := new t_entry; |
|
|
|
|
|
v_entry.data := new STACK_TYPE'(data); |
|
|
|
|
|
v_entry.last_entry := v_head; |
|
|
|
|
|
v_entry.next_entry := null; |
|
|
|
|
|
v_head := v_entry; |
|
|
|
|
|
v_head.last_entry.next_entry := v_head; |
|
|
|
|
|
else |
|
|
|
|
|
v_head := new t_entry; |
|
|
|
|
|
v_head.data := new STACK_TYPE'(data); |
|
|
|
|
|
v_head.last_entry := null; |
|
|
|
|
|
v_head.next_entry := null; |
|
|
|
|
|
v_tail := v_head; |
|
|
|
|
|
end if; |
|
|
|
|
|
v_count := v_count + 1; |
|
|
|
|
|
if v_logging then |
|
|
|
|
|
report t_stack'instance_name & " pushed 0x" & to_string(data) & " on stack"; |
|
|
|
|
|
end if; |
|
|
else |
|
|
else |
|
|
v_head := new t_entry; |
|
|
|
|
|
v_head.data := new std_logic_vector'(data); |
|
|
|
|
|
v_head.last_entry := null; |
|
|
|
|
|
v_head.next_entry := null; |
|
|
|
|
|
v_tail := v_head; |
|
|
|
|
|
end if; |
|
|
|
|
|
v_count := v_count + 1; |
|
|
|
|
|
if v_logging then |
|
|
|
|
|
report t_stack'instance_name & " pushed 0x" & to_hstring(data) & " on stack"; |
|
|
|
|
|
|
|
|
assert false |
|
|
|
|
|
report t_stack'instance_name & " push to full stack -> discared" |
|
|
|
|
|
severity warning; |
|
|
end if; |
|
|
end if; |
|
|
end procedure push; |
|
|
end procedure push; |
|
|
|
|
|
|
|
|
-- read one entry from queue at tail of list and |
|
|
-- read one entry from queue at tail of list and |
|
|
-- delete that entry from list after read |
|
|
-- delete that entry from list after read |
|
|
procedure pop (data : inout std_logic_vector) is |
|
|
|
|
|
|
|
|
procedure pop (data : inout STACK_TYPE) is |
|
|
variable v_entry : t_entry_ptr := v_head; |
|
|
variable v_entry : t_entry_ptr := v_head; |
|
|
begin |
|
|
begin |
|
|
assert not(is_empty) |
|
|
assert not(is_empty) |
|
@ -89,7 +96,7 @@ package body StackP is |
|
|
deallocate(v_entry); |
|
|
deallocate(v_entry); |
|
|
v_count := v_count - 1; |
|
|
v_count := v_count - 1; |
|
|
if v_logging then |
|
|
if v_logging then |
|
|
report t_stack'instance_name & " popped 0x" & to_hstring(data) & " from stack"; |
|
|
|
|
|
|
|
|
report t_stack'instance_name & " popped 0x" & to_string(data) & " from stack"; |
|
|
end if; |
|
|
end if; |
|
|
end procedure pop; |
|
|
end procedure pop; |
|
|
|
|
|
|
|
@ -104,6 +111,12 @@ package body StackP is |
|
|
return v_head = null; |
|
|
return v_head = null; |
|
|
end function is_empty; |
|
|
end function is_empty; |
|
|
|
|
|
|
|
|
|
|
|
-- returns true if queue is full, false otherwise |
|
|
|
|
|
impure function is_full return boolean is |
|
|
|
|
|
begin |
|
|
|
|
|
return v_count = MAX_LEN; |
|
|
|
|
|
end function is_full; |
|
|
|
|
|
|
|
|
-- returns number of filled slots in queue |
|
|
-- returns number of filled slots in queue |
|
|
impure function fillstate return natural is |
|
|
impure function fillstate return natural is |
|
|
begin |
|
|
begin |
|
|