Browse Source

Outcomment VHDL-08 proposal library including & uses

By using an actual version of GHDL, we don't need the VHDL-08
proposal libraries anymore. Enough features of VHDL-08 are integrated
in GHDL to compile OSVVM and our testbenches
pull/1/head
T. Meissner 9 years ago
parent
commit
f9361cc0d0
5 changed files with 18 additions and 18 deletions
  1. +3
    -3
      sim/AssertP.vhd
  2. +3
    -3
      sim/SimP.vhd
  3. +4
    -4
      test/SimT.vhd
  4. +4
    -4
      test/SpiT.vhd
  5. +4
    -4
      test/WishBoneT.vhd

+ 3
- 3
sim/AssertP.vhd View File

@ -4,9 +4,9 @@ library ieee;
--+ including vhdl 2008 libraries --+ including vhdl 2008 libraries
--+ These lines can be commented out when using --+ These lines can be commented out when using
--+ a simulator with built-in VHDL 2008 support --+ a simulator with built-in VHDL 2008 support
library ieee_proposed;
use ieee_proposed.standard_additions.all;
use ieee_proposed.std_logic_1164_additions.all;
--library ieee_proposed;
-- use ieee_proposed.standard_additions.all;
-- use ieee_proposed.std_logic_1164_additions.all;


+ 3
- 3
sim/SimP.vhd View File

@ -4,9 +4,9 @@ library ieee;
--+ including vhdl 2008 libraries --+ including vhdl 2008 libraries
--+ These lines can be commented out when using --+ These lines can be commented out when using
--+ a simulator with built-in VHDL 2008 support --+ a simulator with built-in VHDL 2008 support
library ieee_proposed;
use ieee_proposed.standard_additions.all;
use ieee_proposed.std_logic_1164_additions.all;
--library ieee_proposed;
-- use ieee_proposed.standard_additions.all;
-- use ieee_proposed.std_logic_1164_additions.all;
library libvhdl; library libvhdl;
use libvhdl.AssertP.all; use libvhdl.AssertP.all;


+ 4
- 4
test/SimT.vhd View File

@ -5,10 +5,10 @@ library ieee;
--+ including vhdl 2008 libraries --+ including vhdl 2008 libraries
--+ These lines can be commented out when using --+ These lines can be commented out when using
--+ a simulator with built-in VHDL 2008 support --+ a simulator with built-in VHDL 2008 support
library ieee_proposed;
use ieee_proposed.standard_additions.all;
use ieee_proposed.std_logic_1164_additions.all;
use ieee_proposed.numeric_std_additions.all;
--library ieee_proposed;
-- use ieee_proposed.standard_additions.all;
-- use ieee_proposed.std_logic_1164_additions.all;
-- use ieee_proposed.numeric_std_additions.all;
library osvvm; library osvvm;
use osvvm.RandomPkg.all; use osvvm.RandomPkg.all;


+ 4
- 4
test/SpiT.vhd View File

@ -5,10 +5,10 @@ library ieee;
--+ including vhdl 2008 libraries --+ including vhdl 2008 libraries
--+ These lines can be commented out when using --+ These lines can be commented out when using
--+ a simulator with built-in VHDL 2008 support --+ a simulator with built-in VHDL 2008 support
library ieee_proposed;
use ieee_proposed.standard_additions.all;
use ieee_proposed.std_logic_1164_additions.all;
use ieee_proposed.numeric_std_additions.all;
--library ieee_proposed;
-- use ieee_proposed.standard_additions.all;
-- use ieee_proposed.std_logic_1164_additions.all;
-- use ieee_proposed.numeric_std_additions.all;
library osvvm; library osvvm;
use osvvm.RandomPkg.all; use osvvm.RandomPkg.all;


+ 4
- 4
test/WishBoneT.vhd View File

@ -5,10 +5,10 @@ library ieee;
--+ including vhdl 2008 libraries --+ including vhdl 2008 libraries
--+ These lines can be commented out when using --+ These lines can be commented out when using
--+ a simulator with built-in VHDL 2008 support --+ a simulator with built-in VHDL 2008 support
library ieee_proposed;
use ieee_proposed.standard_additions.all;
use ieee_proposed.std_logic_1164_additions.all;
use ieee_proposed.numeric_std_additions.all;
--library ieee_proposed;
-- use ieee_proposed.standard_additions.all;
-- use ieee_proposed.std_logic_1164_additions.all;
-- use ieee_proposed.numeric_std_additions.all;
library osvvm; library osvvm;
use osvvm.RandomPkg.all; use osvvm.RandomPkg.all;


Loading…
Cancel
Save