Examples of using PSL for functional and formal verification of VHDL with GHDL (and SymbiYosys)
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

19 lines
314 B

  1. include tests.mk
  2. .PHONY: all clean
  3. all: ${psl_tests}
  4. %: %.vhd work/%.sby
  5. ghdl --synth --std=08 $@.vhd -e issue > work/$@_synth.vhd
  6. -sby --yosys "yosys -m ghdl" -f -d work/$@ work/$@.sby bmc
  7. work/%.sby: template.sby
  8. mkdir -p work
  9. sed 's/__ISSUE__/$(basename $(notdir $@))/g' $< > $@
  10. clean:
  11. rm -rf work