Examples of using PSL for functional and formal verification of VHDL with GHDL (and SymbiYosys)
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

15 lines
233 B

  1. include tests.mk
  2. .PHONY: all clean
  3. all: ${psl_tests}
  4. %: ../src/%.vhd ../src/pkg.vhd ../src/sequencer.vhd ../src/hex_sequencer.vhd %.sby
  5. mkdir -p work
  6. -sby --yosys "yosys -m ghdl" -f -d work/$@ $@.sby bmc
  7. clean:
  8. rm -rf work