Examples of using PSL for functional and formal verification of VHDL with GHDL (and SymbiYosys)
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

8 lines
45 B

  1. {
  2. "folders":
  3. [
  4. {
  5. "path": "."
  6. }
  7. ]
  8. }