Examples of using PSL for functional and formal verification of VHDL with GHDL (and SymbiYosys)
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

13 lines
286 B

  1. name: 'simulation'
  2. on: [ push, pull_request ]
  3. jobs:
  4. simulation:
  5. runs-on: ubuntu-latest
  6. steps:
  7. - uses: actions/checkout@v1
  8. with:
  9. submodules: recursive
  10. - run: docker run --rm -tv $(pwd):/src -w /src/sim -e BUILD_NAME=ACCEPTANCE ghdl/vunit:llvm make all