Examples of using PSL for functional and formal verification of VHDL with GHDL (and SymbiYosys)
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

50 lines
1.4 KiB

  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3. use work.pkg.all;
  4. entity psl_abort is
  5. port (
  6. clk : in std_logic
  7. );
  8. end entity psl_abort;
  9. architecture psl of psl_abort is
  10. signal a, b, c, d : std_logic;
  11. begin
  12. d <= '0', '1' after 1100 ps, '0' after 1400 ps;
  13. -- 0123456789
  14. SEQ_A : sequencer generic map ("-___-_____") port map (clk, a);
  15. SEQ_B : sequencer generic map ("_______-__") port map (clk, b);
  16. SEQ_C : sequencer generic map ("-_________") port map (clk, c);
  17. -- D : _|________
  18. -- All is sensitive to rising edge of clk
  19. default clock is rising_edge(clk);
  20. -- This assertion doesn't hold at cycle 4
  21. WITHOUT_ABORT_a : assert (always a -> next (b before a));
  22. -- This assertion holds
  23. WITH_ABORT_0_a : assert (always a -> next (b before a)) abort c;
  24. -- In simulation this assertion should also hold, but it does not
  25. -- GHDL seems to implement abort as sync_abort instead of async_abort
  26. -- See 1850-2010 6.2.1.5.1 abort, async_abort, and sync_abort
  27. -- In formal this assertion fails as d is 0 all the time
  28. WITH_ABORT_1_a : assert (always a -> next (b before a)) abort d;
  29. -- Stop simulation after longest running sequencer is finished
  30. -- Simulation only code by using pragmas
  31. -- synthesis translate_off
  32. stop_sim(clk, 12);
  33. -- synthesis translate_on
  34. end architecture psl;