Examples of using PSL for functional and formal verification of VHDL with GHDL (and SymbiYosys)
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

36 lines
639 B

  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3. use work.pkg.all;
  4. entity psl_vunit is
  5. generic (
  6. formal : string := "ALL"
  7. );
  8. port (
  9. clk : in std_logic
  10. );
  11. end entity psl_vunit;
  12. architecture beh of psl_vunit is
  13. signal a, b : std_logic;
  14. begin
  15. -- 012345
  16. SEQ_A : sequencer generic map ("--____") port map (clk, a);
  17. SEQ_B : sequencer generic map ("_-____") port map (clk, b);
  18. -- Stop simulation after longest running sequencer is finished
  19. -- Simulation only code by using pragmas
  20. -- synthesis translate_off
  21. stop_sim(clk, 6);
  22. -- synthesis translate_on
  23. end architecture beh;