|
@ -1,5 +1,6 @@ |
|
|
library ieee; |
|
|
library ieee; |
|
|
use ieee.std_logic_1164.all; |
|
|
use ieee.std_logic_1164.all; |
|
|
|
|
|
use ieee.numeric_std.all; |
|
|
|
|
|
|
|
|
use work.pkg.all; |
|
|
use work.pkg.all; |
|
|
|
|
|
|
|
@ -17,6 +18,7 @@ end entity psl_vunit; |
|
|
architecture beh of psl_vunit is |
|
|
architecture beh of psl_vunit is |
|
|
|
|
|
|
|
|
signal a, b : std_logic; |
|
|
signal a, b : std_logic; |
|
|
|
|
|
signal c : std_logic_vector(3 downto 0); |
|
|
|
|
|
|
|
|
begin |
|
|
begin |
|
|
|
|
|
|
|
@ -24,12 +26,14 @@ begin |
|
|
-- 012345 |
|
|
-- 012345 |
|
|
SEQ_A : sequencer generic map ("--____") port map (clk, a); |
|
|
SEQ_A : sequencer generic map ("--____") port map (clk, a); |
|
|
SEQ_B : sequencer generic map ("_-____") port map (clk, b); |
|
|
SEQ_B : sequencer generic map ("_-____") port map (clk, b); |
|
|
|
|
|
-- |
|
|
|
|
|
SEQ_C : hex_sequencer generic map ("0123456789ABCDEF") port map (clk, c); |
|
|
|
|
|
|
|
|
|
|
|
|
|
|
-- Stop simulation after longest running sequencer is finished |
|
|
-- Stop simulation after longest running sequencer is finished |
|
|
-- Simulation only code by using pragmas |
|
|
-- Simulation only code by using pragmas |
|
|
-- synthesis translate_off |
|
|
-- synthesis translate_off |
|
|
stop_sim(clk, 6); |
|
|
|
|
|
|
|
|
stop_sim(clk, 18); |
|
|
-- synthesis translate_on |
|
|
-- synthesis translate_on |
|
|
|
|
|
|
|
|
|
|
|
|
|
|