|
|
@ -16,6 +16,9 @@ architecture psl of psl_sere_or is |
|
|
|
signal req2, req4, busy, valid, done : std_logic; |
|
|
|
signal req, wen, ends : std_logic; |
|
|
|
|
|
|
|
-- All is sensitive to rising edge of clk |
|
|
|
default clock is rising_edge(clk); |
|
|
|
|
|
|
|
begin |
|
|
|
|
|
|
|
|
|
|
@ -32,9 +35,6 @@ begin |
|
|
|
SEQ_ENDS : sequencer generic map ("_______-__________-_") port map (clk, ends); |
|
|
|
|
|
|
|
|
|
|
|
-- All is sensitive to rising edge of clk |
|
|
|
default clock is rising_edge(clk); |
|
|
|
|
|
|
|
-- Transfer started by req2 with 2 valids has to be finished by done |
|
|
|
-- This assertion holds |
|
|
|
SERE_0_a : assert always {req2 ; {valid[->2]} && {busy and not done}[+]} |=> {not busy and done}; |
|
|
|