Examples of using PSL for functional and formal verification of VHDL with GHDL (and SymbiYosys)
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
 
 

15 lines
233 B

include tests.mk
.PHONY: all clean
all: ${psl_tests}
%: ../src/%.vhd ../src/pkg.vhd ../src/sequencer.vhd ../src/hex_sequencer.vhd %.sby
mkdir -p work
-sby --yosys "yosys -m ghdl" -f -d work/$@ $@.sby bmc
clean:
rm -rf work