Various projects using Raspberry Pi
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

27 lines
406 B

  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3. use ieee.numeric_std.all;
  4. library machxo2;
  5. use machxo2.components.all;
  6. entity RaspiFpgaE is
  7. port (
  8. --+ SPI slave if
  9. SpiSclk_i : in std_logic;
  10. SpiSte_i : in std_logic;
  11. SpiMosi_i : in std_logic;
  12. SpiMiso_o : out std_logic
  13. );
  14. end entity RaspiFpgaE;
  15. architecture rtl of RaspiFpgaE is
  16. begin
  17. end architecture rtl;