Various projects using Raspberry Pi
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
 
 
T. Meissner 67c3c25ac4 add EFB configured as SPI slave 10 years ago
..
EfbSpiSlave.vhd add EFB configured as SPI slave 10 years ago
RaspiFpgaE.vhd initial commit of new project raspiFpga which uses the PIF FPGA board von bugblat 10 years ago