usb-avr-cpld experiment board with FTDI FT232RL, ATMEGA88 & XC9572XL
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

20 lines
923 B

  1. h1. "usb-avr-cpld":https://github.com/tmeissner/usb-avr-cpld
  2. p(. A small experiment board with usb-connector, usb-serial bridge, avr controller & xilinx cpld
  3. !https://lh5.googleusercontent.com/-Al2Op9EDc1Q/UGHuXh1WkdI/AAAAAAAABss/sLXzn2gTk9k/s0-d/usb-avr-cpld.jpg!
  4. h3. Board's main parts:
  5. * Ftdi FD232RL USB-UART bridge
  6. * Atmel Atmega88
  7. * Xilinx XC9572XL CPLD
  8. h3. Test FW:
  9. The folder "avr":https://github.com/tmeissner/usb-avr-cpld/tree/master/avr contains a avr-gcc project with test routines for the Atmega88 controller.
  10. It checks for the interfaces to the other devices. At the moment, a simply uart loop to the FT232RL
  11. is implemented.
  12. The folder "cpld":https://github.com/tmeissner/usb-avr-cpld/tree/master/cpld contains a xilinx ise / ghdl project with test design for the CPLD.
  13. It checks for the interfaces to the other devices. At the moment, putting a simple "10101" pattern on the gpio pins is implemented.