usb-avr-cpld experiment board with FTDI FT232RL, ATMEGA88 & XC9572XL
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
 
 
 
 

923 B

h1. "usb-avr-cpld":https://github.com/tmeissner/usb-avr-cpld

p(. A small experiment board with usb-connector, usb-serial bridge, avr controller & xilinx cpld

!https://lh5.googleusercontent.com/-Al2Op9EDc1Q/UGHuXh1WkdI/AAAAAAAABss/sLXzn2gTk9k/s0-d/usb-avr-cpld.jpg!

h3. Board's main parts:

* Ftdi FD232RL USB-UART bridge
* Atmel Atmega88
* Xilinx XC9572XL CPLD

h3. Test FW:

The folder "avr":https://github.com/tmeissner/usb-avr-cpld/tree/master/avr contains a avr-gcc project with test routines for the Atmega88 controller.
It checks for the interfaces to the other devices. At the moment, a simply uart loop to the FT232RL
is implemented.

The folder "cpld":https://github.com/tmeissner/usb-avr-cpld/tree/master/cpld contains a xilinx ise / ghdl project with test design for the CPLD.
It checks for the interfaces to the other devices. At the moment, putting a simple "10101" pattern on the gpio pins is implemented.