usb-avr-cpld experiment board with FTDI FT232RL, ATMEGA88 & XC9572XL
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

24 lines
538 B

  1. PROJECT = cpldtestt
  2. MAKE_WORKDIR := $(shell mkdir -p work)
  3. WORKDIR = ${CURDIR}/work
  4. all : sim wave
  5. .PHONY:
  6. sim : $(PROJECT).ghw
  7. $(PROJECT).ghw : ../src/*.vhd $(PROJECT).vhd
  8. cd $(WORKDIR); ghdl -a ../../src/*.vhd ../$(PROJECT).vhd
  9. cd $(WORKDIR); ghdl -e $(PROJECT)
  10. cd $(WORKDIR); ghdl -r $(PROJECT) --wave=../$(PROJECT).ghw --assert-level=error --stop-time=150us
  11. wave : $(PROJECT).ghw $(PROJECT).tcl
  12. gtkwave -T $(PROJECT).tcl $(PROJECT).ghw
  13. clean :
  14. echo "# cleaning simulation files"
  15. rm -f $(PROJECT).ghw
  16. rm -rf $(WORKDIR)