usb-avr-cpld experiment board with FTDI FT232RL, ATMEGA88 & XC9572XL
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
 
 
 
 
T. Meissner 05322a603a new folder 'avr' with test project to test the AVR on USB-AVR-CPLD 12 years ago
..
src new folder 'avr' with test project to test the AVR on USB-AVR-CPLD 12 years ago
makefile new folder 'avr' with test project to test the AVR on USB-AVR-CPLD 12 years ago