usb-avr-cpld experiment board with FTDI FT232RL, ATMEGA88 & XC9572XL
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
 
 
 
 
T. Meissner 8c3ed041a9 new folder 'cpld' with test project to test the CPLD on USB-AVR-CPLD 12 years ago
..
sim new folder 'cpld' with test project to test the CPLD on USB-AVR-CPLD 12 years ago
src new folder 'cpld' with test project to test the CPLD on USB-AVR-CPLD 12 years ago
syn/constraints new folder 'cpld' with test project to test the CPLD on USB-AVR-CPLD 12 years ago